Pui In Mak

Home/Pui In Mak
Pui In Mak
麥沛然 Pui In Mak
Full Professor
Phone: (+853) 8822-8794
Room Number: N21-3006d

For a complete CV, please go to http://www.fst.umac.mo/en/staff/fstpim.html

  1. Pui In Mak, Jun Yin, Yong Chen, Man-Kay Law, R. P. Martins, 2020 Macao Science & Technology Award – Technological Invention – 1st Prize (Enabling Internet-of-Everything (IoE) Connectivity with Advanced Electronic Chips)

    The Science and Technology Development Fund(FDCT)

    Oct-2020
  2. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, Best Paper Award

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2019
  3. Pui In Mak, R. P. Martins, "Technological Invention Award-Second Prize (Multi-standard Wireless and Multi-physical-sensing Chips for Functionality Extension of Next-generation Smart Mobiles)
     

    The Science and Technology Development Fund(FDCT)

    Oct-2016
  4. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, ISSCC Silkroad Award

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2016
  5. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, ISSCC Student Travel Grant Award

    IEEE Solid-State Circuits Society (2016)

    Feb-2016
  6. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, ISSCC Student Travel Grant Award
     

    IEEE Solid-State Circuits Society (2016)

    Feb-2016
  7. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A-SSCC Distinguished Design Award
     

    IEEE Asian Solid-State Circuits Conference (A-SSCC) (2015)

    Feb-2016
  8. Pui In Mak, Man-Kay Law, R. P. Martins, Macao Science and Technology Award – Technological Invention category – 2nd Prize(Analog and Radio Frequency Microelectronics Turn-Key Interfaces for Ubiquitous Wireless Connectivity)

    The Science and Technology Development Fund(FDCT)

    Nov-2014
  9. Pui In Mak, Best Associate Editor for 2012-2013 Term

    IEEE Transactions on Circuits and Systems II, 2014

    Mar-2014
  10. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, ASQED 2013 Best Paper Award (A 2.93 µW 8-bit Capacitance- to -RE Converter for Movable Laboratory Mice blood Pressure Monitoring)

    International Society for Quality Electronic Design(ISQED)

    Aug-2013
  11. R. P. Martins, Seng-Pan U, Pui In Mak, Sai Weng Sin, Second Class Award of the Macao Science and Technology Award – Technological Invention category

    The Science and Technology Development Fund

    Oct-2012
  12. Seng-Pan U, Pui In Mak, Sai Weng Sin, Special Award, the Macao Science and Technology Award 2012

    FDCT

    Oct-2012
  13. Seng-Pan U, Pui In Mak, Sai Weng Sin, National Science and Technology Progress Awards

    Ministry of Science and Technology of the People's Republic of China

    [First Time in Macau] Jan-2012
  14. Miao Liu, Pui In Mak, Yaohua Zhao, R. P. Martins, Gold Leaf Certificate (A Double Active-Decoupling Technique for Reducing Package Effects in a Cognitive-Radio Balun-LNA)

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics(PrimeAsia)

    Oct-2011
  15. U-Wai Lok, Pui In Mak, Wei-Han Yu, R. P. Martins, Silver Leaf Certificate (A Novel Digital Pre-distortion Technique for Class-E PA with Delay Mismatch Estimation)

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics(PrimeAsia)

    Oct-2011
  16. Pui In Mak, Academic Staff Award

    University of Macau

    Jan-2011
  17. Pui In Mak,  Silver-Leaf Certificate

    2nd IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    Sep-2010
  18. Pui In Mak, 2011 University of Macau Academic Staff Award Awarded – For outstanding performances and achievements contributed for the UM international projection

    University of Macau

    Apr-2010
  19. Pui In Mak, 2007-2009 University of Macau Research Award – Emerging Scholar (For his contribution of excellent research to the community and global industry.)

    University of Macau with citation

    Apr-2010
  20. Pui In Mak, IEEE CASS Outstanding Young Author Award (for the Best Journal Paper)

    IEEE Circuits and Systems Society, for authoring a meritorious paper published in any of the CAS Society’s Transactions whose author at the date of submission is <30 years of age. [among the five Best Paper Awards selected from all IEEE CAS Society Transactions]

    Apr-2010
  21. Ka-Fai Un, Pui In Mak, R. P. Martins, Certificate of Merit (DC-Offset-Compensated, CT/DT Hybrid Filter with Process-Insensitive Cutoff and Low In-Band Group-Delay Variation for WLAN Receivers)

    IEEE Asia-Pacific Conference on Circuits and Systems – APCCAS’2008

    Dec-2009
  22. Pui In Mak, R. P. Martins, 2010 Outstanding Young Author Award (Design of an ESD-Protected Ultra-Wideband LNA in Nanoscale CMOS for Full-Band Mobile TV Tuners)

    IEEE CAS Society;IEEE Transactions on CAS – Part I: Regular Papers

    Jun-2009
  23. R. P. Martins, Seng-Pan U, Pui In Mak, 2009 World-Chapter of the Year

    IEEE Circuits And Systems Society, IEEE Macau Joint-Chapter on CAS/COM, Founding Chapter Chair 2005-2008

    May-2009
  24. Pui In Mak, IEEE CASS Service Appreciation

    IEEE Circuits and Systems Society for professional services to the Board-of-Governors as GOLD Representative of Region 10

    Apr-2009
  25. Pui In Mak, IEEE MGA GOLD Achievement Award [Among the 2 recipients awarded to Region 10 – Asia Pacific and Australia]

    IEEE with the citation “For outstanding contributions to global GOLD activities by implementing new strategies to recognize, support, and network GOLD members”

    Apr-2009
  26. Pui In Mak, IEEE CASS Service Appreciation

    IEEE Circuits and Systems Society for professional services to the Board-of-Governors as GOLD Representative of Region 10,

    Apr-2009
  27. Pui In Mak, Visiting Fellowship

    University of Cambridge

    Apr-2009
  28. Pui In Mak, Gold-Leaf Certificate

    1st IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    Jan-2009
  29. Pui In Mak, Seng-Pan U, R. P. Martins, Silver Leaf Certificate (Multistandard-Compliant Receiver Architecture with low-voltage Implementation)

    IEEE Ph.D. Research in Microelectronics and Electronics Conference – PRIME'2005

    Jul-2005
  30. Pui In Mak, R. P. Martins, Seng-Pan U, Award for Second Place / Conceptual Architecture Category (A 1V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35µm CMOS for Low-Cost Wireless SiP)

    42nd Edition of Design Automation Conference – DAC’2005 (Anaheim)

    Jun-2005
  31. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Merit Paper Award (On-Chip Unsteady Reference Voltage Compensation Techniques for Very-High-Speed Pipelined ADC)

    awarded from The 2005 Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    Apr-2005
  32. Pui In Mak, Seng-Pan U, R. P. Martins, Best Paper Award (A Power-and-Area Efficient, Multifunctional CMOS A/D Interface for a Low-IF/Zero-IF Reconfigurable Receiver)

    IEEJ (7th) International Analog VLSI Workshop (AVLSIWS 2004)

    Jul-2004
  33. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, "2nd Prize” in Student Paper Contest (Modeling of Noise Sources in Reference Voltage Generator for Very-High-Speed Pipelined ADC)

    IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2004)

    Jul-2004
  34. Pui In Mak, Seng-Pan U, R. P. Martins, Outstanding Student Paper Award (A Programmable Switched-Capacitor A-DQS Frequency Downconverter for Two-Step Channel Selection Wireless Receiver)

    The 5th International Conference on ASIC

    Oct-2003
  35. Pui In Mak, Vodatel Crossland Scholarship

    University of Macau

    Apr-2001
  36. Pui In Mak, Application Award and Service Award

    Instituto Salesiano

    Apr-1997
  37. Pui In Mak, Application Award

    Instituto Salesiano

    Apr-1995
  1. Zhicong Huang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Wireless Charging Circuit and System

    No. 201911279433.4

    Chinese Patent for Invention

    Jul-2020
  2. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electrode-voltage Waveform for Droplet-velocity and Chip-lifetime Improvements of Digital Microfluidic Systems

    Granted Number: 9,808,800

    US PATENT

    Nov-2017
  3. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electronic Module for Real-time Droplet-position Sensing and Driving in Digital Microfluidic System

    Granted Number: 9,751,083,

    US PATENT

    Sep-2017
  4. Pui In Mak, Zhicheng Lin, R. P. Martins, Radio-Frequency-to-Baseband Function-Reuse Receiver with Shared Amplifiers for Common-Mode and Differential-Mode Amplification

    Granted Number: 9,673,781

    US Patent

    Jun-2017
  5. Pui In Mak, Zhicheng Lin, R. P. Martins, Gain-Boosted N-path Passive-Mixer-First Receiver with a Single-Mixing Step

    Granted Number: 9,680,448

    US Patent

    Jun-2017
  6. Zhicheng Lin, Pui In Mak, R. P. Martins, Complex-Pole Load Offering Concurrent Image Rejection and Channel Selection

    Granted Number: 9479140

    US Patent

    Oct-2016
  7. Pui In Mak, Zhicheng Lin, R. P. Martins, Ultra-Low-Voltage Current-Reuse Voltage-Controlled Oscillator and Transconductance-Capacitor Filter

    Granted Number: 9444431

    US Patent

    Sep-2016
  8. Pui In Mak, Zhicheng Lin, R. P. Martins, Gain-Boosted N-Path Bandpass Filter

    Granted Number: 9374063

    US Patent

    Jun-2016
  9. Pui In Mak, Fujian Lin, R. P. Martins, An RF-to-BB-Current-Reuse Wideband Receiver with Parallel N-Path Active/Passive Mixers

    Granted Number: 9356636

    US Patent

    May-2016
  10. Zhicheng Lin, Pui In Mak, R. P. Martins, IF-Noise-Shaping Transistorized Current-Mode Lowpass Filter Utilizing Cross-Coupled Transistors

    Granted Number: 9,306,540

    US Patent

    Apr-2016
  11. Wei-Han Yu, Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Non-recursive digital calibration for joint-elimination of transmitter and receiver I/Q imbalances with minimized add-on hardware

    Granted Number: 9,276,798

    US Patent

    Mar-2016
  12. Pui In Mak, Fujian Lin, R. P. Martins, RF-to-BB-Current-Reuse Wideband Receiver with a Single-MOS Pole-Zero LPF

    Granted Number: 9,270,314

    US Patent

    Feb-2016
  13. Zhicheng Lin, Pui In Mak, R. P. Martins, ZigBee Receiver Exploiting an RF-to-BB Current-Reuse Blixer and Hybrid Filter Topology

    Granted Number: 9,237,055

    US Patent

    Jan-2016
  14. Ka-Fai Un, Pui In Mak, R. P. Martins, Wideband Driver Amplifier

    Granted Number: 9,172,337

    Application Number: 14/073,014

    US Patent

    Oct-2015
  15. Ka-Fai Un, Pui In Mak, R. P. Martins, Poly-Phase Local Oscillator

    Granted Number: 9,093,951

    Application number: 14/073,060

    US Patent Application

    Jul-2015
  16. Ka-Fai Un, Pui In Mak, R. P. Martins, Wireless Transmitter

    Granted Number: 9,037,100

    Application Number: 14/073,082

    US Patent

    May-2015
  17. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Cooperative-electrode Driving Technique for Droplet-velocity Improvement of Digital Microfluidic Systems

    Reference No. US 14/683,316

    US PATENT

    Apr-2015
  18. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electrode-voltage Waveform for Droplet-velocity and Chip-lifetime Improvements of Digital Microfluidic Systems

    Application Number: 14/683,402

    US Patent

    Apr-2015
  19. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electronic Module for Real-time Droplet-position Sensing and Driving in Digital Microfluidic System

    Application Number: 14/680,671

    US Patent

    Apr-2015
  20. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Frequency Compensation Techniques for Low-Power and Small-Area Multistage Amplifiers

    Granted Number: 8,963,639

    Application Number: 13/770,020

    US Patent

    Feb-2015
  21. Zhicheng Lin, Pui In Mak, R. P. Martins, IF-Noise-Shaping Transistorized Current-Mode Lowpass Filter Utilizing Cross-Coupled Transistors

    Application Number: 14/255,087

    US Patent

    Apr-2014
  22. Zhicheng Lin, Pui In Mak, R. P. Martins, Complex-Pole Load Offering Concurrent Image Rejection and Channel Selection

    Granted number: No. 9,237,055

    Application number: US 14/254,318

    US Patent

    Apr-2014
  23. Pui In Mak, Seng-Pan U, R. P. Martins, Switched Current-Resistor Programmable Gain Array for Low-Voltage Wireless LAN System and Method Using the Same

    Granted Number: 8,229,382

    Application Number:12/355,658,

    US Patent

    Jul-2012
  24. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection for Wireless Transmitter Front-Ends

    Granted Number: 8,019,290

    Application Number 12/203,837

    US Patent

    Sep-2011
  25. Pui In Mak, Seng-Pan U, R. P. Martins, DC-Offset Canceled Programmable Gain Array for Low-Voltage Wireless LAN System and Method Using the Same

    Granted Number: 7,948,309

    Application Number: 12/355,658

    US Patent

    May-2011
  1. Dan Shi, Ka-Meng Lei, Rui P. Martins and Pui-In Mak, A 0.4-V 0.0294-mm2 Resistor-Based Temperature Sensor Achieving ±0.24 °C p2p Inaccuracy From −40 °C to 125 °C and 385 fJ·K2 Resolution FoM in 65-nm CMOS

    IEEE JOURNAL OF SOLID-STATE CIRCUITS

    VOL. 58, NO. 9, pp. 2543 - 2553 Sep-2023
  2. LIWEN LIN, KA-MENG LEI, PUI-IN MAK AND RUI P. MARTINS, An Ultra-Low-Voltage Single-Crystal Oscillator-Timer (XO-Timer) Delivering 16-MHz and 32.258-kHz Clocks for Sub-0.5 V Energy-Harvesting BLE Radios in 28-nm CMOS

    IEEE Open J. Circuits Syst.

    Mar-2023
  3. Zhongyu Zhao, Rujian Cao, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui P. Martins, An FPGA-Based Transformer Accelerator Using Output Block Stationary Dataflow for Object Recognition Applications

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 70, no. 1, pp. 281-285 Jan-2023
  4. Yang Jiang, Man Kay Law , Pui In Mak, Rui P. Martins, Arithmetic Progression Switched-Capacitor DC-DC Converter Topology With Soft VCR Transitions and Quasi-Symmetric Two-Phase Charge Delivery

    IEEE Journal of Solid-State Circuits (JSSC)

    May-2022
  5. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti, and Rui P. Martins, A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less Single-Loop PAM-4 Bang-Bang CDR With a Deliberate-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 57, no. 5, pp. 1358-1371 May-2022
  6. Man-Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, Miniaturized Energy Harvesting Systems Using Switched-Capacitor DC-DC Converters

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), 2022

    Apr-2022
  7. Kishore K. P. Churchill, Harikrishnan Ramiah, Gabriel Chong, Mohd Yazed Bin Ahmad. Jun Yin, Pui-In Mak, Rui P. Martins, A 0.15-V, 44.73% PCE Charge Pump with CMOS Differential Ring-VCO for Energy Harvesting Systems

    Springer Analog Integrated Circuits and Signal Processing

    Vol. 111, pp 35–43 Apr-2022
  8. Io-Wa Iam, Iok-U Hoi, Zhicong Huang, Cheng Gong, Chi-Seng Lam, Pui In Mak, R. P. Martins, Constant-frequency non-communication-based inductive power transfer converter for battery charging

    IEEE Journal of Emerging and Selected Topics in Power Electronics (JESTPE)

    Vol.10, no.2, pp. 2147-2162 Apr-2022
  9. Xiaoteng Zhao, Yong Chen, Pui-In Mak and Rui P. Martins, A 0.0285-mm2 0.68-pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate FD Pulling off an 8.2-(Gb/s)/µs Acquisition Speed of PAM-4 Input in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 57, pp. 546–561 Feb-2022
  10. Xiaoqi Lin, Jun Yin, Pui-In Mak and Rui P. Martins, A Swing-Enhanced Class-D VCO Using a Periodically Time-Varying (PTV) Inductor

    IEEE Solid-State Circuits Letters

    vol. 5, pp. 25–28 Feb-2022
  11. Mingzhong Li, Liang Wan, Man-Kay Law, Li Meng, Yanwei Jia, Pui-In Mak and Rui P. Martins, One-shot high-resolution melting curve analysis for KRAS point-mutation discrimination on a digital microfluidics platform

    RSC Lab on a Chip

    vol. 22, pp. 537-549 Feb-2022
  12. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, A 1.7-3.6-GHz 20-MHz-Bandwidth Channel-Selection N-Path Passive-LNA Achieving 23.5dBm OB-IIP3 and 3.4-4.8dB NF

    IEEE Journal of Solid-State Circuits

    vol. 57, pp. 413–422 Feb-2022
  13. Yueduo Liu, Rongxin Bao, Zihao Zhu, Shiheng Yang, Xiong Zhou, Jun Yin, Pui-In Mak and Qiang Li, Accurate Performance Evaluation of Jitter-Power FOM for Multiplying Delay-Locked Loop

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 495–505 Feb-2022
  14. Hao Guo, Yong Chen, Chaowei Yang, Pui-In Mak, and Rui P. Martins, A Millimeter-Wave CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RCLM Tank

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 69, no. 1, pp. 172 - 185 Jan-2022
  15. Hao Guo, Yong Chen, Chaowei Yang, Pui-In Mak, Rui P. Martins, A Millimeter-Wave Single-Core CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RLCM Tank

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 172-185 Jan-2022
  16. Peng Chen, Xi Meng, Jun Yin, Pui-In Mak, Rui P. Martins, R. B. Staszewski, A 529 μW Fractional-N All-Digital PLL Using TDC Gain Auto-Calibration and an Inverse-Class-F DCO in 65-nm CMOS

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 51–63 Jan-2022
  17. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, A Low-Power Multiband Blocker-Tolerant Receiver with a Steep Filtering Slope Using an N-Path LNA with Feedforward OB Blocker Cancellation and Filtering-By-Aliasing Baseband Amplifiers

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 220–231 Jan-2022
  18. Peng Chen, Jun Yin, Feifei Zhang, Pui-In Mak, Rui P. Martins, R. B. Staszewski, Mismatch Analysis of DTCs with an Improved BIST-TDC in 28nm CMOS

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 196-206 Jan-2022
  19. Jieyun Zhang, Chongyao Xu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, A 4T/Cell Amplifier-Chain-Based XOR PUF With Strong Machine Learning Attack Resilience

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 366-377 Jan-2022
  20. Jiao Zhai, Caiwei Li, Haoran Li, Shuhong Yi, Ning Yang, Kai Miao, Chuxia Deng, Yanwei Jia, Pui-In Mak and Rui P. Martins, Cancer Drug Screening with On-Chip Multi-Drug Dispenser in Digital Microfluidic

    RSC Lab on a Chip

    Vol. 21, no. 24, pp 4749–4759 Dec-2021
  21. Meiqing Liu, Ren Shen, Haoran Li, Yanwei Jia, Pui-In Mak and Rui P. Martins, Ratiometric fluorescence analysis for miR-141 detection with hairpin DNA-templated silver nanoclusters

    Journal of Materials Chemistry C

    pp. 655-664 Dec-2021
  22. Selvakumar Mariappan, Jagadheswaran Rajendran, Yong Chen, Pui-In Mak, Rui P. Martins, A 1.7-to-2.7GHz 35-38% PAE Multiband CMOS Power Amplifier Employing a Digitally-Assisted Analog Pre-distorter (DAAPD) Reconfigurable Linearization Technique

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.11.pp. 3381-3385 Nov-2021
  23. Qiaobo Ma, Xiongjie Zhang, Yang Jiang, Katsuhiro Hata, Makoto Takamiya, Man-Kay Law, Pui-In Mak and Rui P. Martins, A multi-path switched-capacitor-inductor hybrid DC-DC converter with reduced inductor loss and extended voltage conversion range

    IEICE Electronics Express

    Vol.18, No.22, pp 1–6 Nov-2021
  24. Xiongjie Zhang, Qiaobo Ma, Yang Jiang, Man Kay Law, Pui In Mak, Rui P. Martins, A 12V-to-1V switched-capacitor-assisted hybrid converter with dual-path charge conduction and zero-voltage switching

    IEICE Electronics Express

    vol. 18, no. 22, pp. 1-5 Nov-2021
  25. Rui P. Martins; Pui-In Mak; Sai-Weng Sin; Man-Kay Law; Yan Zhu; Yan Lu; Jun Yin; Chi-Hang Chan; Yong Chen; Ka-Fai Un; Mo Huang; Minglei Zhang; Yang Jiang; Wei-Han Yu, Revisiting the Frontiers of Analog and Mixed-Signal Integrated Circuits Architectures and Techniques towards the future Internet of Everything (IoE) Applications

    Foundations and Trends in Integrated Circuits and Systems

    Volume 1, Issue 2-3 Nov-2021
  26. Shiheng Yang, Jun Yin, Tailong Xu, Taimo Yi, Pui-In Mak, Qiang Li and Rui P. Martins, A 600-µm2 Ring-VCO-Based Type-II Hybrid PLL Using a 30-μW Charge-Sharing Integrator in 28-nm CMOS

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No9, pp. 3108-3112 Sep-2021
  27. Jiangchao Wu, Hou-Man Leong, Ka-Chon Lei, Yang Jiang, Man Kay Law, Pui In Mak, Rui P. Martins, A Fully Integrated 10-V Pulse Driver Using Multiband Pulse-Frequency Modulation in 65-nm CMOS

    IEEE Transactions on VLSI Systems

    vol. 29, no.9, pp. 1665-1669 Sep-2021
  28. Jixuan Li; Ka-Fai Un; Wei-Han Yu; Pui-In Mak; Rui P. Martins, An FPGA-Based Energy-Efficient Reconfigurable Convolutional Neural Network Accelerator for Object Recognition Applications

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, no. 9, pp. 3143-3147 Sep-2021
  29. Yunbo Huang, Yong Chen, Hailong Jiao, Pui-In Mak and Rui P. Martins, A 3.36-GHz Locking-Tuned Type-I Sampling PLL with -78.6-dBc Reference Spur Merging Single-Path Reference-Feedthrough-Suppression and Narrow-Pulse-Shielding Techniques

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.9,pp. 3093-3097 Sep-2021
  30. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, A 0.35-V 5,200-µm2 2.1-MHz Temperature-Resilient Relaxation Oscillator with 667fJ/cycle Energy Efficiency Using an Asymmetric Swing-Boosted RC Network and a Dual-Path Comparator

    IEEE Journal of Solid-State Circuits

    vol. 56, No,9, pp. 2701-2710 Sep-2021
  31. Ning Yang, Wenhao Hui, Sizhe Dong, Xuming Zhang, Liyang Shao, Yanwei Jia, Pui-In Mak, Rui P. Martins, Temperature Tolerance Electric Cell-Substrate Impedance Sensing (ECIS) for Joint Assessment of Cell Viability and Vitality

    ACS Sensors

    2021, 6, 10, 3640–3649 Aug-2021
  32. Zhaobo Zhang, Chenchang Zhan, Man-Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, A High-Efficiency Dual-Antenna RF Energy Harvesting System using Full-Energy Extraction with Improved Input Power Response

    IEEE Open Journal of Circuits and Systems

    vol. 2, pp. 436–444 Jul-2021
  33. Zunsong Yang, Yong Chen, Pui-In Mak, Rui P. Martins, A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 68, No.6, pp. 2307-2316 Jun-2021
  34. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Pui-In Mak, R. P. Martins, A Wide-PCE-Dynamic-Range CMOS Cross-Coupled Differential-Drive Rectifier for Ambient RF Energy Harvesting

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.6, pp. 1743-1747 Jun-2021
  35. Selvakumar Mariappan, Jagadheswaran Rajendran, Harikrishnan Ramiah, Pui-In Mak, Jun Yin, Rui P. Martins, An 800 MHz-to-3.3 GHz 20-MHz Channel Bandwidth WPD CMOS Power Amplifier For Multiband Uplink Radio Transceivers

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, pp. 1178-1182 Apr-2021
  36. Rui P. Martins, Pui-In Mak, Chi-Hang Chan, Jun Yin, Yan Zhu, Yong Chen, Yan Lu, Man-Kay Law, Sai-Weng Sin, Bird’s-eye view of Analog and Mixed-Signal Chips for the 21st Century

    International Journal of Circuit Theory and Applications

    vol. 49,No 3, pp. 746-761 Mar-2021
  37. Lingshan Kong, Yong Chen, Haohong Yu, Chirn Chye Boon, Pui-In Mak and Rui P. Martins, Wideband Variable-Gain Amplifiers Based on a Pseudo-Current-Steering Gain-Tuning Technique

    IEEE Access

    vol. 9, pp. 35814–35823 Feb-2021
  38. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins , A 5.0-to-6.36GHz Wideband-Harmonic-Shaping VCO Achieving 196.9dBc/Hz Peak FoM and 90-to-180kHz 1/f3 PN Corner Without Harmonic Tuning Feb-2021
  39. Zunsong Yang, Yong Chen, Jia Yuan, Pui-In Mak, and Rui P. Martins, A 3.3-GHz Integer-N Type-II Sub-Sampling PLL Using a BFSK-Suppressed Push-Pull SS-PD and a Fast-Locking FLL Achieving −82.2-dBc REF Spur and −255-dB FOM

    IEEE Transactions on VLSI systems

    vol. 30, pp. 238–242 Feb-2021
  40. Meiqing Liu, Haoran Li, Yanwei Jia, Pui In Mak, Rui P Martins, SARS-CoV-2 RNA detection with duplex-specific nuclease signal amplification

    Micromachines, 12, 197

    Feb-2021
  41. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, Startup Time and Energy-Reduction Techniques for Crystal Oscillators in the IoT Era

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, no 1, pp. 30-35 Jan-2021
  42. Xiaoteng Zhao, Yong Chen, Pui-In Mak, R. P. Martins, A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 68, no1, pp. 89-102 Jan-2021
  43. Zhicong Huang, Zhijian Fang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Cost-effective compensation design for output customization and efficiency optimization in series/series-parallel inductive power transfer converter

    IEEE Transactions on Industrial Electronics (TIE)

    vol. 67, no. 12 pp. 10356 - 10365 Dec-2020
  44. Haoran Li, Ren Shen, Cheng Dong, Tianlan Chen, Yanwei Jia, Pui-In Mak, Rui P. Martins, Turning on/off satellite droplet ejection for flexible sample delivery on digital microfluidics

    Lab on a Chip, 20,3709-3719, 2020

    Inside Front Cover

    Oct-2020
  45. Jiao Zhai, Haoran Li, Ada Hang-Heng Wong, Cheng Dong, Shuhong Yi, Yanwei Jia, Pui-In Mak, Chuzia Deng, Rui P. Martins, A novel and robust single-cell trapping method on digital microfluidics

    Bio-protocol, vol.10, issue19, e3769, 2020

    Oct-2020
  46. Zhicong Huang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Siu-Chung Wong, Chi K. Tse, A single-stage inductive-power-transfer converter for constant-power and maximum-efficiency battery charging

    IEEE Transactions on Power Electronics (TPEL)

    vol. 35, no. 9, pp. 8973 – 8984 Sep-2020
  47. Zhiyuan Chen, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier With Capacitor Reuse for Input Power Adaptation

    IEEE Journal of Solid-State Circuits

    Vol.55, no.8, pp. 2106-2117 Aug-2020
  48. Jiao Zhai, Haoran Li, Ada Hang-Heng Wong, Cheng Dong, Shuhong Yi, Yanwei Jia, Pui In Mak, Chuxia Deng, R. P. Martins, A Digital Microfluidic System with 3D Microstructures for Single-Cell Culture

    Microsystems & Nanoengineering (Nature Publishing Group)

    (2020) 6:6 Jun-2020
  49. Xin Lu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, and Rui P. Martins, A 4-μm Diameter SPAD Using Less-Doped N-Well Guard Ring in Baseline 65-nm CMOS

    IEEE Transactions on Electron Devices (TED)

    Vol 67. Issue 5, 2020. May-2020
  50. Nandini Viteea, Harikrishnan Ramiah, Pui In Mak, Jun Yin, R. P. Martins, A 1-V 4-mW Multiple-Feedback Differential-Folded Mixer Achieving 18.4-dB Conversion Gain, +12.5-dBm IIP3 and 8.5-dB NF

    IEEE Transactions on VLSI Systems

    vol. 28, pp. 1164-1174 May-2020
  51. Xin Lu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui In Mak, R. P. Martins, A 4μm Diameter SPAD Using Less-doped N-Well Guard Ring in Baseline 65nm CMOS

    IEEE Transactions on Electron Devices

    vol. 67, pp. 2223-2225 May-2020
  52. Ren Shen, Yanwei JIa, Pui-In Mak, Rui P. Martins, Clip to release on amplification (CRoA): a novel enhancer for DNA amplification on and off microfluidics

    Lab on a Chip, Vol.20, 1928-1938, 2020

    Outside Back Cover

    20, 1928-1938, 2020 (Back cover) Apr-2020
  53. Nandini Viteea, Harikrishnan Ramiah, Pui In Mak, Jun Yin, R. P. Martins, A 3.15 mW +16.0 dBm IIP3 22 dB CG Inductively Source Degenerated Balun-LNA-Mixer with Integrated Transformer-Based Gate Inductor and IM2 Injection Technique

    IEEE Transactions on VLSI systems

    vol. 28, pp. 700-713 Mar-2020
  54. Ren Shen, Yanwei Jia, Pui In Mak, R. P. Martins, Clip to release on amplification (CRoA): a novel enhancer for DNA amplification on and off microfluidics

    Lab on a Chip

    20, 1928-1938, 2020 (Back cover) Mar-2020
  55. Ka-Meng Lei, Dongwan Ha, Yi-Qiao Song, Robert Westervelt, R. P. Martins, Pui In Mak, Donhee Ham, Portable NMR with Parallelism

    ACS Analytical Chemistry

    2020, 92, 2, 2112–2120 Jan-2020
  56. Haohong Yu, Yong Chen, Chirn Chye Boon, Pui In Mak, R. P. Martins, A 0.096-mm2 1-to-20-GHz Triple-Path Noise-Cancelling Common-Gate Common-Source LNA with Complementary pMOS-nMOS Configuration

    IEEE Transactions on Microwave Theory and Techniques

    vol. 68, pp. 144-159 Jan-2020
  57. Zunsong Yang, Yong Chen, Shiheng Yang, Pui In Mak, R. P. Martins, A 10.6-mW 26.4-GHz Dual-Loop Type-II Phase-Locked Loop Using Dynamic Frequency Detector and Phase Detector

    IEEE Access

    vol. 8, pp. 2222–2232 Jan-2020
  58. Ka-Fai Un, Feifei Zhang, Pui In Mak, R. P. Martins, Anding Zhu, Robert Bogdan Staszewski, Design Considerations of the Interpolative Digital Transmitter for Quantization Noise and Replicas Rejection

    IEEE Transactions on Circuits and Systems – II

    vol. 67, pp. 37–41 Jan-2020
  59. Chao Fan, Wei-Han Yu, Pui In Mak, R. P. Martins, A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS

    IEEE Transactions on Circuits and systems - I

    vol. 66, No.12, pp. 4850–4861, Dec-2019
  60. Yang Jiang, Man-Kay Law, Zhiyuan Chen, Pui-In Mak, and Rui P. Martins, Algebraic Series-Parallel-Based Switched-Capacitor DC-DC Boost Converter with Wide Input Voltage Range and Enhanced Power Density

    IEEE Journal of Solid-State Circuits (JSSC)

    Vol. 54, Issue 11 Nov-2019
  61. Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, *Yang Jiang, Man-Kay Law, Pui In Mak, R. P. Martins (*Corresponding Author), Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), Vol. 66, Issue 10

    Oct-2019
  62. Yong Chen, Pui In Mak, Zunsong Yang, Chirn Chye Boon, R. P. Martins, A 0.0071-mm² 10.8pspp-Jitter 4 to 10-Gb/s 5-Tap Current-Mode Transmitter Using a Hybrid Delay Line for Sub-1-UI Fractional De-Emphasis

    IEEE Transactions on Circuits and Systems I: Regular Paper

    Vol.66, No.10, pp.3991-4004 Oct-2019
  63. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.0018-mm2 153%-Locking-Range CML-Based Divider-by-2 with Tunable Self-Resonant Frequency Using an Auxiliary Negative-gm Cell

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.66, No. 9, pp 3330-3339 Sep-2019
  64. Zhai Jiao, S. H. Yi, Yanwei Jia, Pui In Mak, R. P. Martins, Cell-based drug screening on microfluidics

    Trends in Analytical Chemistry

    117, 231-241 Aug-2019
  65. Xinyi Ge, Yong Chen, Xiaoteng Zhao, Pui In Mak, R. P. Martins, Analysis and Verification of Jitter in Bang-Bang Clock and Data Recovery Circuit With a Second-Order Loop Filter

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.27, Issue 10, pp.2223-2236 Jun-2019
  66. Mingzhong Li, Cheng Dong, Man-Kay Law, Yanwei Jia, Pui In Mak, R. P. Martins, Hydrodynamic-flow-enhanced rapid mixer for isothermal DNA hybridization kinetics analysis on digital microfluidics platform

    Sensors and Actuators B

    287, 390-397 May-2019
  67. Shiheng Yang, Haidong Yi, Wei-Han Yu, Pui In Mak, R. P. Martins, A 0.2-V Energy-Harvesting BLE Transmitter With a Micropower Manager Achieving 25% System Efficiency at 0-dBm Output and 5.2-nW Sleep Power in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol: 54 , No.: 5 , pp. 1351 - 1362 May-2019
  68. Zechariah Balan, Harikrishnan Ramiah, Jagadheswaran Rajendran, Nandini Viteea, Pravinah Nair Shasidharan, Jun Yin, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low Energy/ZigBee Receiver Consuming 2mA

    Elsevier the VLSI Journal - Integration

    Vol.6, pp. 112-118 May-2019
  69. Bing Li, Ji-Ping Na, Wei Wang, Jia Liu, Qian Yang, Pui In Mak, A 13-bit 8-kS/s ΔΣ Readout IC Using the ZCB Integrators with an Embedded Resistive Sensor Achieving 1.05-pJ/conversion-step and 65-dB PSRR

    IEEE Transactions on VLSI systems

    Vol: 27 , Issue: 4 , pp 843 - 853 Apr-2019
  70. Iat-Fai Sun, Jun Yin, Pui In Mak, R. P. Martins, A Comparative Study of 8-Phase Feedforward-Coupling Ring VCOs

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II)

    Vol. 66, Issue:4, pp. 527-531, Apr 2019 Apr-2019
  71. Antoine Dupré, Ka-Meng Lei, Pui In Mak, R. P. Martins, Weng-Kung Peng, Micro-and nanofabrication NMR technologies for point-of-care medical applications–A review

    Microelectronic Engineering

    Volume 209, 15 March 2019, Pages 66-74 Mar-2019
  72. Tongquan Jiang, Jun Yin, Pui In Mak, R. P. Martins, A 0.5-V 0.4-to-1.6-GHz 8-Phase Bootstrap Ring-VCO Using Inherent Non-Overlapping Clocks Achieving a 162.2-dBc/Hz FoM

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol.66, Issue: 2, pp 157-161 Feb-2019
  73. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, A 5.1-to-7.3 mW, 2.4-to-5 GHz Class-C Mode-Switching Single-Ended-Complementary VCO Achieving >190 dBc/Hz FoM

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II)

    Volume: 66 , Issue: 2, pp. 237 - 241 Feb-2019
  74. Liang Wan, Jie Gao, Tianlan Chen, Cheng Dong, Haoran Li, Yan-Zi Wen, Zhao-Rong Lun, Yanwei Jia, Pui In Mak, R. P. Martins, LampPort: a handheld digital microfluidic device for loop-mediated isothermal amplification (LAMP)

    Biomedical Microdevices

    Jan-2019
  75. Haohong Yu, Yong Chen, Chirn Chye Boon, Chenyang Li, Pui In Mak, R. P. Martins, A 0.044-mm2 0.5-to-7-GHz resistor-plus-source-follower-feedback noise-cancelling LNA achieving a flat NF of 3.3±0.45 dB

    IEEE Transactions on Circuits and Systems - II

    Vol. 66. No.1, pp 71 - 75 Jan-2019
  76. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, A 0.0056-mm2 −249-dB-FoM All-Digital MDLL Using a Block-Sharing Offset-Free Frequency-Tracking Loop and Dual Multiplexed-Ring VCOs

    IEEE Journal of Solid-State Circuits

    Vol.54, No.1, pp 88 - 98 Jan-2019
  77. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Many-Objective Sizing Optimization of a Class-C/D VCO for Ultralow-Power IoT and Ultralow-Phase-Noise Cellular Applications

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.27, No.1, pp 69 - 82 Jan-2019
  78. Yang Jiang, Man-Kay Law, Pui In Mak, R. P. Martins, Algorithmic Voltage-Feed-In Topology for Fully Integrated Fine-Grained Rational Buck–Boost Switched-Capacitor DC–DC Converters

    IEEE Journal of Solid-State Circuits

    Vol.53, No.12, pp 3455 - 3469 [Invited Paper] Dec-2018
  79. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, An Inverse-Class-F CMOS Oscillator With Intrinsic-High-Q First Harmonic and Second Harmonic Resonances

    IEEE Journal of Solid-State Circuits

    Vol.53, No.12, pp 3528 - 3539 Dec-2018
  80. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, Algorithmic Voltage-Feed-In Topology for Fully Integrated Fine-Grained Rational Buck-Boost Switched-Capacitor DC-DC Converters

    IEEE Journal of Solid-State Circuits (JSSC), Vol. 53, Issue 12

    Dec-2018
  81. Dapeng Sun, Tan-Tan Zhang, Man-Kay Law, Pui In Mak, R. P. Martins, Process compensated bipolar junction transistor-based CMOS temperature sensor with a ±1.5°C (3σ) batch-to-batch inaccuracy

    Electronics Letters

    Vol.54, No.22. pp 1270-1272 Nov-2018
  82. Yatao Peng, Jun Yin, Pui In Mak, R. P. Martins, Low-Phase-Noise Wideband Mode-Switching Quad-Core-Coupled mm-wave VCO Using a Single-Center-Tapped Switched Inductor

    IEEE Journal of Solid-State Circuits

    Vol.53, No.11, pp 3232 - 3242 Nov-2018
  83. Lingshan Kong, Yong Chen, Chirn Chye Boon, Pui In Mak, R. P. Martins, A wideband inductorless dB-linear automatic-gain control amplifier using a single-branch negative exponential generator for wireline applications

    IEEE Transactions on Circuits and Systems - I

    vol. 65, no. 10, pp. 3196-3206 Oct-2018
  84. Yong Chen, Pui In Mak, Chirn Chye Boon, R. P. Martins, A 36-Gb/s 1.3-mW/Gb/s duobinary-signal transmitter exploiting power-efficient cross-quadrature clocking multiplexers with maximized timing margin

    IEEE Transactions on Circuits and Systems - I

    vol. 65, no. 9, pp. 3014-3026 Sep-2018
  85. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Wong Wei Ru, Pui In Mak, R. P. Martins, Ambient RF energy harvesting system: a review on integrated circuit design

    Springer Analog Integrated Circuits and Signal Processing

    Volume 97, Issue 3, pp 515–531 Sep-2018
  86. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Regulation-Free Sub-0.5-V 16-/24-MHz Crystal Oscillator With 14.2-nJ Startup Energy and 31.8- μ W Steady-State Power

    IEEE Journal of Solid-State Circuits

    Vol.53, No.9, pp 2624 - 2635 Sep-2018
  87. Xingqiang Peng, Jun Yin, Wei-Han Yu, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low-Energy Transmitter With 34.7% Peak System Efficiency

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol. 65, No. 9, pp 1174 - 1178 Sep-2018
  88. Chak-Fong Cheang, Pui In Mak, R. P. Martins, A Hardware-Efficient Feedback Polynomial Topology for DPD Linearization of Power Amplifiers: Theory and FPGA Validation

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.65, No.9, pp 2889 - 2902 Sep-2018
  89. Haidong Yi, Wei-Han Yu, Pui In Mak, Jun Yin, R. P. Martins, A 0.18-V 382-uW Bluetooth Low-Energy(BLE) Receiver Front-End With 1.33-nW Sleep Power for Energy-Harvesting Applications in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol.53, No.6, pp.1618-1627 Jun-2018
  90. Changzhi Li, Ka-Fai Un, Pui In Mak, Ying Chen, José-María Muñoz-Ferreras, Zhi Yang, Roberto Gómez-García, Overview of Recent Development on Wireless Sensing Circuits and Systems for Healthcare and Biomedical Applications

    IEEE Journal on Emerging and Selected Topics in Circuits and Systems

    vol. 8, no. 2, pp. 165-177 Jun-2018
  91. Gengzhen Qi, Barend van Liempd, Pui In Mak, R. P. Martins, Jan Craninckx, A SAW-Less Tunable RF Front End for FDD and IBFD Combining an Electrical-Balance Duplexer and a Switched-LC N-Path LNA

    IEEE Journal of Solid-State Circuits

    vol.53, No.5, pp.1431-1442 May-2018
  92. Tan-Tan Zhang, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, Nano-Watt Class Energy-Efficient Capacitive Sensor Interface With On-Chip Temperature Drift Compensation

    IEEE Sensors Journal

    vol. 18, No.7, pp.2870-2882 Apr-2018
  93. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.083-mm2 25.2-to-29.5 GHz Multi-LC-Tank Class-F234 VCO with a 189.6-dBc/Hz FOM

    IEEE Solid-State Circuits Letters

    vol. 1, no. 4, pp. 86-89 Apr-2018
  94. Sio Hang Pun, Yuanyu Yu, Jian Zhang, Jiu Jiang Wang, Ching-Hsiang Cheng, Kin Fong Lei, Zhen Yuan, Pui In Mak, Monolithic Multiband CMUTs for Photoacoustic Computed Tomography WithIn VivoBiological Tissue Imaging

    : IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control

    Vol.65, No.3, pp 465 - 475 Mar-2018
  95. Haidong Yi, Jun Yin, Pui In Mak, R. P. Martins, A 0.032-mm2 0.15-V 3-Stage Charge-Pump Scheme Using a Differential Bootstrapped Ring-VCO for Energy-Harvesting Applications

    IEEE Transactions on CAS – Part II: Express Briefs

    vol. 65, No.2, pp.146-150 Feb-2018
  96. Wei-Han Yu, Ka-Fai Un, Pui In Mak, R. P. Martins, A 0.7-to-2.5 GHz, 61% EIRP System Efficiency, Four-Element MIMO TX System Exploiting Integrated Power-Relaxed Power Amplifiers and an Analog Spatial De-Interleave

    IEEE Transactions on CAS – Part I: Regular Papers

    vol.65, No.1, pp.14-25 Jan-2018
  97. Yong Chen, Pui In Mak, Haohong Yu, Chirn Chye Boon, R. P. Martins, An Area-Efficient and Tunable Bandwidth-Extension Technique for a Wideband CMOS Amplifier Handling 50+ Gb/s Signaling

    IEEE Transactions on Microwave Theory and Techniques

    vol. 65, Issue 12, pp. 4960-4975 Dec-2017
  98. Zhiyuan Chen, Man-Kay Law, Pui In Mak, Wing-Hung Ki, R. P. Martins, Fully-Integrated Inductor-less Flipping-Capacitor Rectifier (FCR) for Piezoelectric Energy Harvesting

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 12, pp. 3168-3180 Dec-2017
  99. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, A High-Voltage-Enabled Class-D Polar PA Using Interactive AM-AM Modulation, Dynamic Matching, and Power-Gating for Average PAE Enhancement

    IEEE Transactions on Circuits and Systems – I

    vol. 64, Issue 12, pp. 2844-2857 Nov-2017
  100. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Ada Hang-Heng Wong, Yanwei Jia, Pui In Mak, C. X. Deng, R. P. Martins, A digital microfluidic system for loop-mediated isothermal amplification and sequence specific pathogen detection

    Scientific Reports

    714,586 Nov-2017
  101. Yong Chen, Pui In Mak, Chirn Chye Boon, R. P. Martins, A 27-Gb/s Time-Interleaved Duobinary Transmitter Achieving 1.44-mW/Gb/s FOM in 65-nm CMOS

    IEEE Microwave and Wireless Components Letters

    Vol. 27, Issue: 9, pp. 839-841 Sep-2017
  102. A. H. H. Wong, Haoran Li, Yanwei Jia, Pui In Mak, R. P. Martins, Y. Liu, C. M. Vong, H. C. Won, P. K. Wong, H. T. Wang, H. Sun, C. X. Deng, Drug screening of cancer cell lines and human primary tumors using droplet microfluidics

    Scientific Reports

    7, 9109 Aug-2017
  103. Gengzhen Qi, Pui In Mak, R. P. Martins, A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 8, pp. 2055–2070 Aug-2017
  104. Hoi Kei Chan, Pui In Mak, Shirley W. I. Siu, Exploring the Behavior of Water Nanodroplet on a Coplanar Electrowetting-on-Dielectric: A Molecular Dynamics Approach

    IET Micro & Nano Letters

    Vol.12, Issue: 7, pp. 486-489 Jul-2017
  105. Xingqiang Peng, Jun Yin, Pui In Mak, Wei-Han Yu, R. P. Martins, A 2.4-GHz ZigBee Transmitter Using a Function-Reuse Class-F DCO-PA and an ADPLL Achieving 22.6% (14.5%) System Efficiency at 6-dBm (0-dBm) Pout

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 6, pp. 1495- 1508, Jun-2017
  106. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, LC-VCOs Using Spiral Inductors with Single- and Dual-Layer Patterned Floating Shields – A Comparative Study

    Springer Analog Integrated Circuits and Signal Processing

    vol. 91, Issue 3, pp. 497-502 Jun-2017
  107. Chio-In Ieong, Ming Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, A 0.45-V 147-to-375 nW Real-Time ECG Processor with Lossless-to-Lossy Data Compression for Wireless Healthcare Wearables

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    vol. 25, Issue 4, 1307-1319 Apr-2017
  108. Gim Heng Tan, Harikrishnan Ramiah, Pui In Mak, R. P. Martins, A 0.35-V 520-µW 2.4-GHz Current-Bleeding Mixer with Inductive-Gate and Forward-Body Bias, Achieving >13-dB Conversion Gain and >55-dB Port-to-Port Isolation

    IEEE Transactions on Microwave Theory and Techniques

    vol. 65, Issue4, pp. 1284-1293 Apr-2017
  109. Amin Khalili Moghaddam, Joon Huang Chuah, Harikrishnan Ramiah, Jalil Ahmadian, Pui In Mak, R. P. Martins, A 73.9%-Efficiency CMOS Rectifier Using a Lower DC Feeding (LDCF) Self-Body-Biasing Technique for Far-Field RF Energy-Harvesting systems

    IEEE Transactions on Circuits and Systems – I

    vol. 64, Issue 4, pp. 992-1002 Apr-2017
  110. Changhao Chen, Elizabeth A. McCullagh, Sio Hang Pun, Peng Un Mak, Mang I Vai, Pui In Mak, Achim Klug, Tim C. Lei, An Integrated Circuit for Simultaneous Extracellular Electrophysiology Recording and Optogenetic Neural Manipulation

    IEEE Transactions on Biomedical Engineering

    Vol. 64 , Issue: 3, pp 557 - 568 Mar-2017
  111. Z.Chen, Man-Kay Law, Pui In Mak, R. P. Martins, A Single-Chip Solar Energy Harvesting IC using Integrated Photodiodes with a 67% Charge Pump Maximum Efficiency

    IEEE Trans. on Biomedical Circuits and Systems.[Top 50 most accessed paper: Aug. 2016 - Oct. 2017]

    Volume: 11, Issue: 1, pp.44 - 53 Feb-2017
  112. Cheng Dong, Yanwei Jia, Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, A 3D microblade structure for precise and parallel droplet splitting on digital microfluidic chips

    Lab on a Chip

    17, 896-904 Feb-2017
  113. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld High-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE Journal of Solid-State Circuits

    vol. 52,Issue 1, pp. 284-297 Jan-2017
  114. Jun Yin, Pui In Mak, Franco Maloberti, R. P. Martins, A Time-Interleaved Ring-VCO with Reduced 1/f3 Phase Noise Corner, Extended Tuning Range and Inherent Divided Output

    IEEE Journal of Solid-State Circuits

    Volume: 51, Issue: 12, pp. 2979-2991 Dec-2016
  115. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Integration with a Digital Microfluidic Device inside a Portable Magnet

    IEEE Journal of Solid-State Circuits

    vol. 51, Issue. 10, pp. 2274-2286 [Invited Paper] Oct-2016
  116. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μ NMR CMOS Transceiver Using a Butterfly-Coil Input for Integration With a Digital Microfluidic Device Inside a Portable Magnet

    IEEE Journal of Solid-State Circuits

    Vol 51, Issue: 10, pp 2274 - 2286 Oct-2016
  117. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, CMOS Biosensors for In Vitro Diagnosis – Transducing Mechanisms and Applications

    RSC Lab on a Chip

    2016, 16, pp. 3664-3681 Sep-2016
  118. Jiu Jiang Wang, Sio Hang Pun, Peng Un Mak, Ching-Hsiang Cheng, Yuanyu Yu, Pui In Mak, Improved Analytical Modeling of Membrane Large Deflection with Lateral Force for the Underwater CMUT Based on Von Kármán Equations

    IEEE Sensors Journal

    vol. 16, Issue: 17, pp. 6633-6640 Sep-2016
  119. Jimmy C. F. Ngai, Pui In Mak, Shirley W. I. Siu, ProtPOS: a python package for the prediction of protein preferred orientation on a surface

    Oxford University Press - Bioinformatics

    (2016) 32 (16): 2537-2538. Aug-2016
  120. Yuanyu Yu, Sio Hang Pun, Peng Un Mak, Ching-Hsiang Cheng, Jiu Jiang Wang, Pui In Mak, Mang I Vai, Design of a Collapse-Mode CMUT with an Embossed Membrane for Improving Output Pressure

    IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control

    vol. 63, Issue: 6, pp. 854-863 Jun-2016
  121. Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, Joint-Digital-Predistortion for Wireless Transmitter's I/Q Imbalance and PA Nonlinearities Using an Asymmetrical Complexity-Reduced Volterra Series Model

    Springer Analog Integrated Circuits and Signal Processing

    vol. 87, pp. 35-47 Apr-2016
  122. Jiangchao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, A 2 µW 45 nV/√Hz Readout Frontend With Multiple Chopping, Active-High-Pass Ripple Reduction Loop and Pseudo-Feedback DC Servo Loop

    IEEE Transactions on Circuits and Systems – II

    vol. 63, Issue: 4, pp. 351-355 Apr-2016
  123. Man-Kay Law, Sanfeng Lu, Tao Wu, A. Bermak, Pui In Mak, R. P. Martins, A 1.1 µW CMOS Smart Temperature Sensor with an Inaccuracy of ±0.2ºC (3σ) for Clinical Temperature Monitoring

    IEEE Sensors Journal

    vol. 16, Issue: 8, pp. 2272-2281 Apr-2016
  124. U. R. J. Eswaran, Harikrishnan Ramiah, Pui In Mak, R. P. Martins, A 2-μm InGaP/GaAs Class-J Power Amplifier for Multi-band LTE Achieving 35.8-dB Gain, 40.5%-to-55.8% PAE and 28-dBm Linear Output Power

    IEEE Transactions on Microwave Theory and Techniques

    vol. 64, pp. 200-209 Jan-2016
  125. Suyan Fan, Man-Kay Law, Mingzhong Li, Zhiyuan Chen, Chio-In Ieong, Pui In Mak, R. P. Martins, Wide Input Range Supply Voltage Tolerant Capacitive Sensor Readout Using On-Chip Solar Cell

    World Scientific Journal of Circuits, Systems, and Computers

    Vol. 25, No. 1, pp. 1640006-1 to 12 Jan-2016
  126. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Pui In Mak, R. P. Martins, Sub-7-second genotyping of single-nucleotide polymorphism by high-resolution melting curve analysis on a thermal digital microfluidic device

    Lab on a Chip

    16, 743-752 Jan-2016
  127. Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, The Dispersal Analysis on Basis Construction of Digital Predistortion Techniques for Power Amplifiers

    Springer Analog Integrated Circuits and Signal Processing

    vol. 86, pp. 77-88 Jan-2016
  128. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Energy Optimized Sub-threshold VLSI Logic Family with Unbalanced Pull-up/down Network and Inverse-Narrow-Width Techniques

    IEEE Transactions on VLSI Systems

    vol. 23, pp. 3119-3123 Dec-2015
  129. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area

    IEEE Transactions on VLSI Systems

    vol. 23, pp. 3104-3108 Dec-2015
  130. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Franco Maloberti, Nested-Current-Mirror Rail-to-Rail-Output Single-Stage Amplifier With Enhancements of DC Gain, GBW and Slew Rate

    IEEE Journal of Solid-State Circuits

    vol. 50, pp. 2353-2366 Oct-2015
  131. Ka-Fai Un, Wei-Han Yu, Chak Fong Cheang, Gengzhen Qi, Pui In Mak, R. P. Martins, A Sub-GHz Wireless Transmitter Utilizing a Multi-Class-Linearized PA and Time-Domain Wideband-Auto I/Q-LOFT Calibration for IEEE 802.11af WLAN

    IEEE Transactions on Microwave Theory and Techniques

    vol. 63, pp. 3228-3241 Oct-2015
  132. Yaohua Zhao, Pui In Mak, R. P. Martins, Franco Maloberti, A 0.02 mm 59.2 dB SFDR 4th-Order SC LPF With 0.5-to-10 MHz Bandwidth Scalability Exploiting a Recycling SC-Buffer Biquad

    IEEE Journal of Solid-State Circuits

    vol. 50, pp. 1988-2001 Sep-2015
  133. Md. Tawfiq Amin, Jun Yin, Pui In Mak, R. P. Martins, A 0.07-mm2 2.2-mW 10-GHz Current-Reuse Class-B/C Hybrid VCO Achieving 196-dBc/Hz FoMA

    IEEE Microwave and Wireless Components Letters

    vol. 25, pp. 457-459 Jul-2015
  134. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Palm-Size µNMR Relaxometer Using a Digital Microfluidic Device and a Semiconductor Transceiver for Chemical/Biological Diagnosis

    Royal Society of Chemistry - Analyst, 2015

    2015,140, 5129-5137 Jun-2015
  135. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Adhesion Promoter for Multi-dielectric-layer on Digital Microfluidic Chip

    RSC Advances, 2015

    5, 48626-48630 May-2015
  136. Yong Chen, Pui In Mak, Yan Wang, A Highly-Scalable Analog Equalizer Using a Tunable and Current-Reusable Active Inductor for 10-Gb/s I/O Links

    IEEE Transactions on Very Large Scale Integration Systems

    vol. 23, pp. 978-982 May-2015
  137. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, On the Droplet Velocity and Electrode Lifetime of Digital Microfluidics: Voltage Actuation Techniques and Comparison

    Springer Microfluidics and Nanofluidics

    Vol. 18, pp 673-683 Apr-2015
  138. Chak Fong Cheang, Ka-Fai Un, Wei-Han Yu, Pui In Mak, R. P. Martins, A Combinatorial Impairment-Compensation Digital Predistorter for a Sub-GHz IEEE 802.11af-WLAN CMOS Transmitter Covering a 10x-Wide RF Bandwidth

    IEEE Transactions on Circuits and Systems – I

    vol. 62, pp. 1025-1032 Apr-2015
  139. Zushu Yan, Wei Wang, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.0045-mm2 32.4-µW Two-Stage Amplifier for pF-to-nF Load Using CM Frequency Compensation

    IEEE Transactions on Circuits and Systems – II

    vol. 62, pp. 246-250 Mar-2015
  140. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, 0.0045mm2 15.8μW Three-Stage Amplifier Driving 10x-Wide (0.15 to 1.5nF) Capacitive Loads with >50° Phase Margin

    IET Electronics Letters

    vol. 51, pp. 454-456 Mar-2015
  141. Fujian Lin, Pui In Mak, R. P. Martins, Wideband Receivers: Design Challenges, Tradeoffs and State-of-the-Art

    IEEE Circuits and Systems Magazine

    vol. 15, Issue 1, pp. 12-24 Mar-2015
  142. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 3.6mW 6GHz Current-Reuse VCO-Buffer with Improved Load Drivability in 65nm CMOS

    Wiley International Journal of Circuit Theory and Applications

    vol. 43, pp. 133–138 Jan-2015
  143. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, NMR-DMF: A Modular Nuclear Magnetic Resonance-Digital Microfluidics System for Biological Assays

    Analyst, 2014,

    139, 6204-6213. Dec-2014
  144. Zhicheng Lin, Pui In Mak, R. P. Martins, A Sub-GHz Multi-ISM-Band ZigBee Receiver Using Function-Reuse and Gain-Boosted N-Path Techniques for IoT Applications

    IEEE Journal of Solid-State Circuits

    vol. 49, Issue 12, pp. 2990 - 3004 Dec-2014
  145. Yong Chen, Pui In Mak, Li Zhang, Yan Wang, A 0.002-mm2 6.4-mW 10-Gb/s Full-Rate Direct DFE Receiver with 59.6% Horizontal Eye Opening at 10-12 BER under 23.3-dB Channel Loss at Nyquist

    IEEE Transactions on Microwave Theory and Techniques

    vol. 62, no. 12, pp. 3107-3117 Dec-2014
  146. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 0.137 mm 9 GHz Hybrid Class-B/C QVCO with Output Buffering in 65 nm CMOS

    IEEE Microwave and Wireless Components Letters

    vol. 24, no. 10 Oct-2014
  147. Zhicheng Lin, Pui In Mak, R. P. Martins, Analysis and Modeling of a Gain-Boosted N-Path Switched-Capacitor Bandpass Filter

    IEEE Transactions on Circuits and Systems – I

    vol. 9, pp. 2560-2568 Sep-2014
  148. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.14-mm2, 1.4-mW, 59.4 dB-SFDR, 2.4-GHz ZigBee/WPAN Receiver Exploiting a Split-LNTA + 50% LO Topology in 65-nm CMOS

    IEEE Transactions on Microwave Theory and Techniques

    vol. 62, pp. 1525-1534 Jul-2014
  149. Pui In Mak, Miao Liu, Yaohua Zhao, R. P. Martins, Enhancing the Performances of Recycling Folded Cascode OpAmp in Nanoscale CMOS through Voltage Supply Doubling and Design for Reliability

    Wiley International Journal of Circuit Theory and Applications

    Article first published online:22 NOV 2012; vol. 42, pp. 605-619 Jun-2014
  150. Zhicheng Lin, Pui In Mak, R. P. Martins, A 2.4-GHz ZigBee Receiver Exploiting an RF-to-BB-Current-Reuse Blixer + Hybrid Filter Topology in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 49, pp. 1333-1344 Jun-2014
  151. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Natural Discharge after Pulse and Cooperative Electrodes to Enhance Droplet Velocity in Digital Microfluidics

    AIP Advances

    4, 047129 (2014) Apr-2014
  152. Fujian Lin, Pui In Mak, R. P. Martins, A Sine-LO Square-Law Harmonic-Rejection Mixer – Theory, Implementation and Application
     

    IEEE Transactions on Microwave Theory and Techniques

    vol. 62, pp. 313-322 Feb-2014
  153. Ka-Meng Lei, Pui In Mak, R. P. Martins, Systematic Analysis and Cancellation of Kickback Noise in a Dynamic Latched Comparator

    Analog Integrated Circuits and Signal Processing, Springer

    Vol. 77, Issue 2, pp 277-284 Nov-2013
  154. Yong Chen, Pui In Mak, Stefano D'Amico, Li Zhang, He Qian, Yan Wang, A Single-Branch Third-Order Pole–Zero Low-Pass Filter With 0.014-mm2 Die Size and 0.8-kHz (1.25-nW) to 0.94-GHz (3.99-mW) Bandwidth–Power Scalability

    IEEE Transactions on Circuits and Systems – II

    Vol. 60, No. 11, pp. 761-765 Nov-2013
  155. Tan-Tan Zhang, Pui In Mak, Mang I Vai, Peng Un Mak, Man-Kay Law, Sio Hang Pun, Feng Wan, R. P. Martins, 15-nW Biopotential LPFs in 0.35-µm CMOS Using Subthreshold-Source-Follower Biquads with and without Gain Compensation

    IEEE Transactions on Biomedical Circuits and Systems

    Vol. 7, Issue 5, pp 690-702 Oct-2013
  156. Yong Chen, Pui In Mak, Li Zhang, He Qian, Yan Wang, 0.013 mm2, kHz-to-GHz-bandwidth, thirdorder all-pole lowpass filter with 0.52-to- 1.11 pW/pole/Hz efficiency

    IET Electronics Letters

    Vol.49, Issue 21, pp 1340-1342 Oct-2013
  157. Yanwei Jia, Pui In Mak, Conner Massey, R. P. Martins, Lawrence J. Wangh, Construction of a microfluidic chip, using dried-down reagents, for LATE-PCR amplification and detection of single-stranded DNA

    Lab on a Chip

    Issue 13, pp 4635-4641 Sep-2013
  158. Ka-Fai Un, Pui In Mak, R. P. Martins, A 53-to-75 mW, 59.3-dB HRR, TV-Band White-Space Transmitter Using a Low-Frequency Reference LO in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol.48, Issue 9, pp 2078-2089 Aug-2013
  159. Wei-Han Yu, Chak-Fong Cheang, Pui In Mak, Weng-Fai Cheng, Ka-Fai Un, U-Wai Lok, R. P. Martins, A Nonrecursive Digital Calibration Technique for Joint Elimination of Transmitter and Receiver I/Q Imbalances With Minimized Add-On Hardware

    IEEE Transactions on Circuits and Systems – II

    Vol. 60, No. 8, pp. 462-466 Aug-2013
  160. Yong Chen, Pui In Mak, Li Zhang, He Qian, Yan Wang, Pre-Emphasis Transmitter (0.007mm2, 8Gbit/s, 0-14dB) with Improved Data Zero-Crossing Accuracy in 65nm CMOS

    IET Electronics Letters

    vol. 49, no. 15, pp. 929-930 Jul-2013
  161. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 3.6mW 6GHz Current-Reuse VCO-Buffer with Improved Load Drivability in 65nm CMOS

    Wiley International Journal of Circuit Theory and Applications

    Jun-2013
  162. Yong Chen, Pui In Mak, Li Zhang, He Qian, Yan Wang, A 0.0012mm2, 8mW, Single-to-Differential Converter with <1.1% Data Cross Error and <3.4ps RMS Jitter up to 14Gb/s Data Rate

    IET Electronics Letters

    vol.49, no. 11, p. 692-694 May-2013
  163. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.016-mm2 144-µW Three-Stage Amplifier Capable of Driving 1-to-15 nF Capacitive Load with >0.95-MHz GBW

    IEEE Journal of Solid-State Circuits

    Vol.48, Issue 2 , pp 527-540 Feb-2013
  164. Yong Chen, Pui In Mak, Li Zhang, He Qian, Yan Wang, A Fifth-Order 20-MHz Transistorized- -Ladder LPF With 58.2-dB SFDR, 68- Efficiency, and 0.13- Die Size in 90-nm CMOS

    IEEE Transactions on Circuits and Systems – II

    Vol.60, Issue 1, pp 11-15 Jan-2013
  165. Jie Gao, Xianming Liu, Tianlan Chen, Pui In Mak, Yuguang Du, Mang I Vai, Bingcheng Lin, R. P. Martins, An Intelligent Digital Microfluidic System with Fuzzy-Enhanced Feedback for Multi-Droplet Manipulation

    Lab on a Chip

    Issue 3, pp 443-451 Jan-2013
  166. Chio-In Ieong, Pui In Mak, Chi-Pang Lam, Cheng Dong, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 0.83-μW QRS Detection Processor Using Quadratic Spline Wavelet Transform for Wireless ECG Acquisition in 0.35-μm CMOS

    IEEE Transactions on Biomedical Circuits and Systems

    Vol.6, Issue 6, pp 586-595 Dec-2012
  167. Wei-Han Yu, Weng-Fai Cheng, Yue Li, Chak-Fong Cheang, Pui In Mak, R. P. Martins, Low-Complexity, Full-Resolution, Mirror-Switching Digital Pre-Distortion Scheme for Polar-Modulated Power Amplifiers

    IET Electronics Letters

    Vol.48, Issue 24, pp 1551-1553 Nov-2012
  168. Wenya Nan, João Pedro Rodrigues, Jiali Ma, Xiaoting Qu, Feng Wan, Pui In Mak, Peng Un Mak, Mang I Vai, Agostinho Rosa, Individual Alpha Neurofeedback Training Effect on Short Term Memory

    Elsevier International Journal of Psychophysiology

    Vol.86, Issue 1, pp 83-87 Oct-2012
  169. Pui In Mak, R. P. Martins, Enhanced RFICs in Nanoscale CMOS

    IEEE Microwave Magazine

    Vol.13, Issue 6, pp 80-89 Sep-2012
  170. Pui In Mak, Chon-Teng Ma, R. P. Martins, A Frequency-Translation Technique for Low-Noise Ultra-Low-Cutoff Lowpass Filtering

    Analog Integrated Circuits and Signal Processing, Springer

    Vol.72, Issue1, pp 265-269 Jul-2012
  171. Yong Chen, Pui In Mak, L. Zhang, Y. Wang, A 0.07mm2, 2mW, 75MHz-IF, 4th-Order BPF Using a Source-Follower-Based Resonator in 90nm CMOS

    IET Electronics Letters

    Vol.48, No.10 May-2012
  172. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Ultra-area-efficient three-stage amplifier using current buffer Miller compensation and parallel compensation

    Electronics Letters

    Vol.48, Issue 11, pp 624-626 May-2012
  173. Pui In Mak, Creating Multi-Stage Amplifiers with a Wide Range of Output-Current Drivability and Capacitive-Load Drivability is still very Challenging – Interview

    IET Electronics Letters

    Vol.48 , Issue 11, pp 602 May-2012
  174. Zushu Yan, Pui In Mak, R. P. Martins, Double Recycling Technique for Folded-Cascode OTA

    Analog Integrated Circuits and Signal Processing, Springer

    Vol. 71, Issue 1, pp 137-141 Apr-2012
  175. Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Robust Deterministic Annealing Based EM Algorithm

    Electronics Letters

    vol.48 , Issue 5 , pp.289-290 Mar-2012
  176. Pui In Mak, R. P. Martins, A 0.46-mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol. 6 , Issue 9, pp 1970-1984 Sep-2011
  177. Pui In Mak, Can Artificial Intelligence be Realized and will it Benefit Humanity

    IEEE Potentials

    Vol. 30, issue 2, pp 6-7 Jul-2011
  178. Zushu Yan, Pui In Mak, R. P. Martins, Two-Stage Operational Amplifiers: Power-and-Area-Efficient Frequency Compensation for Driving a Wide Range of Capacitive Load

    Circuits and Systems Magazine, IEEE

    Vol.11 , Issue: 1 Mar-2011
  179. Pui In Mak, R. P. Martins, High-/Mixed-Voltage RF and Analog CMOS Circuits Come of Age

    IEEE CAS Magazine

    vol. 10, Issue 4, pp. 27-39 Dec-2010
  180. Pui In Mak, Assisting the Career Development of Young Members – Examples of What IEEE CAS Society Have Recently Done

    IEEE Circuits and Systems Magazine

    vol. 10, Issue 3, pp. 92-94 Sep-2010
  181. Pui In Mak, R. P. Martins, A 2×VDD-Enabled Mobile-TV RF Front-End with TV-GSM Interoperability in 1-V 90-nm CMOS

    IEEE Transactions on Microwave Theory and Techniques

    vol. 58, Issue 7, pp. 1664-1676 Jul-2010
  182. Ka-Fai Un, Pui In Mak, R. P. Martins, Analysis and Design of Open-Loop Multi-Phase Local-Oscillator Generator for Wireless Applications

    IEEE Transactions on Circuits and Systems – I: Regular Papers

    vol. 57, Issue 5, pp. 970-987 May-2010
  183. Yong Chen, Pui In Mak, Yumei Zhou, Self-Tracking Charge Pump for Fast-Locking PLL

    IET Electronics Letters

    vol. 46, Issue 11, pp. 755-757 May-2010
  184. Yong Chen, Pui In Mak, Yumei Zhou, Mixed-Integrator Biquad for Continuous-Time Filters

    IET Electronics Letters

    vol. 46, Issue 8, pp. 561-563 Apr-2010
  185. Pui In Mak, Starting a New Team in Microelectronics Development – SWOT and New Initiatives

    IEEE Potentials

    vol. 28, Issue 6, pp. 34-36 Nov-2009
  186. Pui In Mak, R. P. Martins, Design of an ESD-Protected Ultra-Wideband LNA in Nanoscale CMOS for Full-Band Mobile TV Tuners

    IEEE Transactions on Circuits and Systems – I: Regular Papers, Special Issue of ISCAS 2008

    vol. 56, Issue 5, pp. 933-942 May-2009
  187. Tuna Tarim, Martin Di Federico, Pui In Mak, Circuits and Systems Education: Viewpoint of GOLD and Industry

    IEEE Circuits and Systems Magazine, Special Issue on Circuits and Systems Education

    vol. 9, Issue 1, pp. 42-48 Mar-2009
  188. Pui In Mak, Explosive Growth Calls for More Mixed-Voltage Analog Integrated Circuits

    IEEE Potentials

    vol. 28, Issue 2, pp. 35-36 Mar-2009
  189. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, Frequency-Bandwidth-Tunable Powerline Notch Filter for Biopotential Acquisition Systems

    IET Electronics Letters

    vol. 45, Issue 4, pp. 197-198 Feb-2009
  190. Pui In Mak, Seng-Pan U, R. P. Martins, On the Design of Programmable-Gain Amplifier with Built-in Compact DC-Offset Cancellers for Very Low-Voltage WLAN Systems

    ", IEEE Transactions on Circuits and Systems – I: Regular Papers

    vol. 55, Issue 2, pp. 496-509 Mar-2008
  191. Pui In Mak, Seng-Pan U, R. P. Martins, An Experimental 1-V Flexible-IF CMOS Analogue-Baseband Chain for IEEE 802.11a/b/g WLAN Receivers

    IET Proceedings - Circuits, Devices and Systems

    vol. 1, Issue 6, pp. 415-426 Dec-2007
  192. Pui In Mak, Seng-Pan U, R. P. Martins, Transceiver Architecture Selection – Review, State-of-the-Art Survey and Case Study

    IEEE Circuits and Systems Magazine

    vol. 7, Issue 2, pp. 6-25 Jun-2007
  193. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection – A Novel Technique for Reconfigurable Multistandard Transceiver Front-Ends

    IEEE Transactions on Circuits and Systems-I, Regular Paper

    Vol. 52, issue 7, pp 1302-1315 Jul-2005
  194. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection Technique by Programmable Digital-Double Quadrature Sampling for Complex Low-IF Receivers

    IEE Electronics Letters

    Vol. 39, issue 11, pp 825-827 May-2003
  1. Xiangxun Zhan, Jun Yin, Pui-In Mak and Rui P. Martins, A 22.4-to-26.8GHz Dual-Path-Synchronized Quad-Core Oscillator Achieving −138dBc/Hz PN and 193.3dBc/Hz FoM at 10MHz Offset from 25.8GHz

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  2. Zhizhan Yang, Jun Yin, Haochen Zhang, Wei-han Yu, Pui-In Mak and Rui P. Martins, An ULP Long-Range Active-RF Tag with Automatic Antenna-Interface Calibration Achieving 20.5% TX Efficiency at -22dBm EIRP, and -60.4dBm Sensitivity at 17.8nW RX Power

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  3. Hao Guo, Yong Chen, Yunbo Huang, Pui-In Mak and Rui P. Martins, An 83.3-to-104.7GHz Harmonic-Extraction VCO Incorporating Multi-resonance, Multi-core and Multi-mode (3M) Techniques Achieving -124dBc/Hz Absolute PN and 190.7dBc/Hz FOMT

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  4. Jinhai Lin, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui P. Martins, A 47nW Mixed-Signal Voice Activity Detector (VAD) Featuring a Non-Volatile Capacitor-ROM, a Short-Time CNN Feature Extractor and an RNN Classifier

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  5. Haihua Li, Ka-Meng Lei, Pui-In Mak and Rui Martins, A 12/13.56MHz Crystal Oscillator with Binary-Search-Assisted Two-Step Injection Achieving 5.0nJ Startup Energy and 45.8µs Startup Time

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  6. Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, A 108nW 0.8mm2 Analog Voice Activity Detector (VAD) Featuring a Time-Domain CNN as a Programmable Feature Extractor and a Sparsity-Aware Computational Scheme in 28nm CMOS

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2022
  7. Haijun Shao, Pui-In Mak, Gengzhen Qi, Rui P. Martins, A 266µW Bluetooth Low-Energy (BLE) Receiver Featuring an N-Path Passive Balun-LNA and a Pipeline Down-Mixing BB-Extraction Scheme Achieving 77dB SFDR and -3dBm OOB-B-1dB

    IEEE International Solid-State Circuits Conference (ISSCC), pp. 400-401

    Feb-2022
  8. Ka Meng Lei, Pui In Mak, Rui P. Martins, A 0.45-V 3.3-µW Resistor-Based Temperature Sensor Achieving 10mK Resolution in 65-nm CMOS

    4th IEEE International Conference on Integrated Circuits, Technologies & Applications, pp 127-128,

    Nov-2021
  9. Xi Meng, Junqi Guo, Haoran Li, Jun Yin, Pui-In Mak, Rui P. Martins, A 15.2-to-18.2GHz Balanced Dual-Core Inverse-Class-F VCO with Q-Enhanced 2nd-Harmonic Resonance Achieving 187-to-188.1dBc/Hz FoM in 28nm CMOS

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Session 12/ Paper 12.1

    Nov-2021
  10. Jixuan Li, Jiabao Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, A 50.4 GOPs/W FPGA-Based MobileNetV2 Accelerator using the Double-Layer MAC and DSP Efficiency Enhancement

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    IEEE ASSCC 2021, Session 7/ paper 7.3

    Nov-2021
  11. Chongyao Xu, Jieyun Zhang, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, Modeling Attack Resistant Strong PUF Exploiting Obfuscated Interconnections With <0.83% Bit-Error Rate

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 19/ paper 19.4

    Nov-2021
  12. Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, An Arithmetic Progression Switched-Capacitor DC-DC Converter with Soft VCR Transitions Achieving 93.7% Peak Efficiency and 400 Ma Output Current

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 2 / Paper 2.1

    Nov-2021
  13. Jun Yin, Pui-In Mak and Rui P. Martins, A Periodically Time-Varying Inductor Applied to the Class-D VCO for Phase Noise Improvement

    IEEE European Solid-State Circuits Conference (ESSCIRC), pp 307-310

    Sep-2021
  14. Wei-Han Yu, Massimo Giordano, Rohan Doshi, Minglei Zhang, Pui-In Mak, Rui P. Martins and Boris Murmann, A 4-bit Mixed-Signal MAC Array with Swing Enhancement and Local Kernel Memory

    2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp 326-329

    Aug-2021
  15. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti, and Rui P. Martins, A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less FD-Less Single-Loop PAM-4 Bang-Bang CDR with a Deliberately-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS [Best Student Paper Award – 3rd Place]

    IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp 131-134

    Jun-2021
  16. Xiaoteng Zhao, Yong Chen, Xuqiang Zheng, Pui-In Mak, and Rui P. Martins,, A 0.01mm2 1.2-pJ/bit 6.4-to-8Gb/s Reference-less FD-Less BBCDR Using a Deliberately-Clock-Selected Strobe Point Based on a 2π/3-Interval Phase

    IEEE International Microwave Symposium (IMS), pp 386-389

    Jun-2021
  17. Yangyang Liu; Yu Lei; Man Kay Law; Bruno Veigas; Pui In Mak; Rui P. Martins, A Time-Domain CMOS Temperature Sensor Using Gated Ring Oscillator With Linearity Optimization

    IEEE International Symposium on Signals, Circuits and Systems

    May-2021
  18. Yunbo Huang, Yong Chen, Pui-In Mak, and Rui P. Martins, A 3.52-GHz Harmonic-Rich-Shaping VCO with Noise Suppression and Circulation Achieving -151-dBc/Hz Phase Noise at 10-MHz Offset

    2021 IEEE International Symposium on Circuits and Systems

    May-2021
  19. Wei-Han Yu, Xingqiang Peng, Pui In Mak, Rui Martins, A 2.4-GHz Digitally-Modulated Class-D Polar PA Using Power-Gating, Interactive AM-AM Modulation and a Dynamic Matching Network for Battery Lifetime Extension

    IEEE International Solid-State Circuits Conference (ISSCC), Student Research Preview

    Feb-2021
  20. Ren Shen, Yanwei Jia, Pui-In Mak, Rui Martins, Naked eye observation of PCR on digital microfluidics with CRoA

    microTAS, online

    Oct-2020
  21. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.082mm2 24.5-to-28.3GHz Multi-LC-Tank Fully-Differential VCO Using Two Separate Single-Turn Inductors and a 1D-Tuning Capacitor Achieving 189.4dBc/Hz FOM and 200±50kHz 1/f3 PN Corner

    IEEE Radio Frequency Integrated Circuits (RFIC) Symposium

    Jun-2020
  22. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.0285mm2 0.68pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate Frequency Detector Achieving an 8.2(Gb/s)/µs Acquisition Speed of PAM-4 data in 28nm CMOS

    IEEE Custom Integrated Circuits Conference (CICC)

    Mar-2020
  23. Chao Fan, Jun Yin, Chee-Cheow Lim, Pui In Mak, R. P. Martins, A 9mW 54.9-to-63.5GHz Current-Reuse LO Generator with a 186.7dBc/Hz FoM by Unifying a 20GHz 3rd Harmonic-Rich Current-Output VCO, a Harmonic-Current Filter and a 60GHz TIA

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 282-284 Feb-2020
  24. Gengzhen Qi, Haijun Shao, Pui In Mak, Jun Yin, R. P. Martins, A 1.4-to-2.7GHz FDD SAW-Less Transmitter for 5G-NR Using a BW-Extended N-Path Filter-Modulator, an Isolated-BB Input and a Wideband TIA-Based PA Driver Achieving <-157.5dBc/Hz OB Noise

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 172-174 Feb-2020
  25. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery Circuit (BBCDR) in 28-nm CMOS

    IEEE Asia Pacific Conference on Circuits and Systems

    Nov-2019
  26. Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS

    in IEEE Int'l Symposium on IC and Systems (ISICAS)

    pp. 1768 - 1772, Venice, Italy Aug-2019
  27. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Using EDA Tools to Push the Performance Boundaries of an Ultralow-Power IoT-VCO at 65nm

    2019 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)

    Jul-2019
  28. Ren Shen, Yanwei Jia, Pui In Mak, R. P. Martins, Hairpin-structured PCR enhancer for digital microfluidic systems, Poster presentation

    IMCO 2019, Hong Kong

    Jun-2019
  29. Zhai Jiao, Yanwei Jia, Pui In Mak, R. P. Martins, Digital microfluidic system for single cell culture and drug screening, Poster presentation

    IMCO 2019, Hong Kong

    Jun-2019
  30. Haoran Li, Ren Shen, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Electric-controlled precise and flexible sample delivery on DMF, Oral presentation

    IMCO 2019, Hong Kong

    Jun-2019
  31. Ruping Xiao, Mingzhong Li, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.45-V 70-nW QRS Detector Using Decimated Quadratic Spline Wavelet Transform and Window-based Extrema Difference Techniques

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    Jun-2019
  32. Yukun Xu, Man-Kay Law, Pui In Mak, R. P. Martins, A Curvature Compensated BJT-based Time-Domain Temperature Sensor With An Inaccuracy of ±0.7°C From -40°C to 125°C

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    Jun-2019
  33. Yifan Li, Man-Chung Wong, Yudian Zheng, Feng Wan, Pui In Mak, Sio Hang Pun, Mang I Vai, EEG-based Emotion Recognition Under Convolutional Neural Network with Differential Entropy Feature Maps

    2019 IEEE International Conference on Computational Intelligence and Virtual Environments for Measurement Systems and Applications (CIVEMSA)

    Jun-2019
  34. Zunsong Yang, Yong Chen, Shiheng Yang, Pui In Mak, R. P. Martins, A 25.4-to-29.5GHz 10.2mW Isolated-Sub-Sampling PLL (iSS-PLL) Achieving -252.9dB Jitter-power FOM and -63dBc Reference Spur

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 270-272 Feb-2019
  35. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.08mm2 25.5-to-29.9GHz Multi-Resonant-RLCM-Tank VCO Using a Single-Turn Multi-Tap Inductor and CM-Only Capacitors Achieving 191.6-dBc/Hz FOM and 130kHz 1/f3 PN Corner

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 410-412 Feb-2019
  36. Zhiyuan Chen, JIANG Yang, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, Piezoelectric Energy Harvesting Interface using Split-Phase Flipping-Capacitor Rectifier (SPFCR) and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3× Energy Extraction Improvement

    in IEEE International Solid-State Circuits Conference (ISSCC)

    Dig. Tech. Papers Feb-2019
  37. Zhiyuan Chen, Yang Jiang, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, A Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3× Energy-Extraction Improvement

    IEEE International Solid-State Circuits Conference (ISSCC 2019)

    pp. 424-426 Feb-2019
  38. Zhai Jiao, Yunyi Li, Cheng Dong, Haoran Li, Yanwei Jia, Pui In Mak, R. P. Martins, 3D Microstructures to Realize Single Cell Culture on Digital Microfluidic Chip for Precision Medicine, Poster presentation

    microTAS 2018, Kaohsiung, Taiwan

    Nov-2018
  39. Haoran Li, Yanwei Jia, Ren Shen, Tianlan Chen, Cheng Dong, Pui In Mak, R. P. Martins, On-chip Pico-pipette: A Method for Precise Delivery in a DMF system, Poster presentation

    microTAS 2018, Kaohsiung, Taiwan

    Nov-2018
  40. Kai Xu, Jun Yin, Pui In Mak, Robert Bogdan Staszewski, R. P. Martins, A 2.4-GHz Single-Pin Antenna Interface RF Front-End with a Function-Reuse Single-MOS VCO-PA and a Push-Pull LNA

    2018 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Oct-2018
  41. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Design and Optimization of a Class-C/D VCO for Ultra-Low-Power IoT and Cellular Applications

    15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD 2018)

    Jul-2018
  42. Liang Wan, Haoran Li, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, In-minutes Polymerase Chain Reaction With Specific Dna Amplification On Digital Microfluidics With Sloppy Temperature Control

    APCOT 2018, Hong Kong

    Poster presentation Jun-2018
  43. Ka-Meng Lei, Pui In Mak, R. P. Martins, A 0.4V 6.4µW 3.3MHz CMOS Bootstrap Relaxation Oscillator with ±0.71% Frequency Deviation from -30°C to 100°C for Wearable and Sensing Applications

    forthcoming Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2018

    May-2018
  44. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.22-to-2.4V-Input Fine-Grained Fully-Integrated Rational Buck-Boost SC DC-DC Converter Using Algorithmic Voltage-Feed-In (AVFI) Topology Archiving 84.1% Peak Efficiency at 13.2μW/mm2

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted and [Invited Special Issue in JSSC], pp. 422-423 Feb-2018
  45. Jun Yin, S. Yang, H. Yi, Wei-Han Yu, Pui In Mak, R. P. Martins, A 0.2V Energy-Harvesting BLE Transmitter with a Micropower Manager Achieving 25% System Efficiency at 0dBm Output and 5.2nW Sleep Power in 28nm CMOS

    Digest of Technical Papers from IEEE International Solid-State Circuits Conference – ISSCC 2018

    vol.61, pp.450-452 Feb-2018
  46. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, A 0.0056mm2all-digital MDLL using edge re-extraction, dual-ring VCOs and a 0.3mW block-sharing frequency tracking loop achieving 292fsrmsJitter and −249dB FOM

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    [Invited Special Issue in JSSC] Feb-2018
  47. Chee-Cheow Lim, Jun Yin, Pui In Mak, Harikrishnan Ramiah, R. P. Martins, An inverse-class-F CMOS VCO with intrinsic-high-Q 1st- and 2nd-harmonic resonances for 1/f2-to-1/f3 phase-noise suppression achieving 196.2dBc/Hz FOM

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    [Invited Special Issue in JSSC] Feb-2018
  48. Xingqiang Peng, Jun Yin, Wei-Han Yu, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low-Energy Transmitter (TX)

    IEEE International Solid-State Circuits Conference – ISSCC 2018

    [Student Research Preview] Feb-2018
  49. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Regulation-Free Sub-0.5V 16/24MHz Crystal Oscillator for Energy Harvesting BLE Radios with 14.2nJ Startup Energy and 31.8uW Steady-State Power

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted. pp. 52-53 Jan-2018
  50. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital Microfluidic Platform for False-Positive-Free Loop-Mediated Isothermal Amplification

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    paper M167g Oct-2017
  51. Biao Chen, JIANG Yang, Kwan-Ting Ng, Man-Kay Law, Pui In Mak, R. P. Martins, A Wide Range High Efficiency Fully Integrated Switched-Capacitor DC-DC Converter with Fixed Output Spectrum Modulation

    IEEE Int. Conference of Electron Devices and Solid-State Circuits (EDSSC)

    Oct-2017
  52. Gengzhen Qi, Barend van Liempd, Pui In Mak, R. P. Martins, Jan Craninckx, A 0.7 to 1 GHz Switched-LC N-Path LNA Resilient to FDD-LTE Self-Interference at ≥40 MHz Offset

    IEEE Radio Frequency Integrated Circuits Symposium (RFIC)

    pp. 276-279 Jun-2017
  53. Dapeng Sun, Man-Kay Law, Bo Wang, Pui In Mak, R. P. Martins, Piecewise BJT Process Spread Compensation Exploiting Base Recombination Current

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  54. Tan-Tan Zhang, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, A 310nW 14.2-Bit Iterative-Incremental ADC for Wearable Sensing Systems

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  55. Ka-Meng Lei, Pui In Mak, R. P. Martins, A 0.4V 4.8μW 16MHz CMOS Crystal Oscillator Achieving 74-Fold Startup-Time Reduction Using Momentary Detuning

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  56. Z.Chen, Man-Kay Law, Pui In Mak, W. H. Ki, R. P. Martins, A 1.7mm2 Inductor-less Fully-Integrated Flipping-Capacitor Rectifier (FCR) for Piezoelectric Energy Harvesting with 483% Power Extraction Enhancement

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted Feb-2017
  57. Wei-Han Yu, Haidong Yi, Pui In Mak, Jun Yin, R. P. Martins, A 0.18V 382µW Bluetooth Low-Energy (BLE) Receiver with 1.33nW Sleep Power for Energy-Harvesting Applications in 28nm CMOS

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    pp. 414-415, Feb-2017
  58. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.22-to-2.4V Input Fully Integrated Buck-Boost SC DC-DC Converter with Cell-Spliced Power Stage and Domain-Adaptive Switch Drivers

    IEEE International Solid-State Circuits Conference

    - Student Research Preview (ISSCC-SRP), San Francisco, USA Feb-2017
  59. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld High Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE Journal of Solid-State Circuit

    vol. 52, no. 1, pp. 284-297 [Invited Paper] Jan-2017
  60. Jie Gao, Liang Wan, Yanwei Jia, Tianlan Chen, Cheng Dong, Haoran Li, Shun Liu, Pui In Mak, R. P. Martins, A Thermal Digital Microfluidic Device and Its Application to Disease Diagnostics

    Lab on a Chip International Symposium: Droplet-based Microfluidics

    Hang Zhou, China Nov-2016
  61. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital microfluidic system for LAMP-based detection of Trypanosoma brucei using molecular beacon probes

    Lab on a Chip International Symposium: Droplet-based Microfluidics

    Hang Zhou, China Nov-2016
  62. Cheng Dong, Yanwei Jia, Tianlan Chen, Jie Gao, Liang Wan, Pui In Mak, Mang I Vai, R. P. Martins, Precise Droplet Splitting on Digital Microfluidic Chip with Blade Structures”,

    MicroTAS

    Dublin, Ireland Oct-2016
  63. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Liang Wan, Pui In Mak, R. P. Martins, A Calibration-free Thermal Digital Microfluidic Device for Ultrafast DNA Melting Curve Analysis

    MicroTAS

    Dublin, Ireland Oct-2016
  64. Cheng Dong, Yanwei Jia, Tianlan Chen, Liang Wan, Pui In Mak, Mang I Vai, R. P. Martins, Digital Microfluidic Chip with Blade Structures for Precise Droplet Splitting

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    paper W108f Jul-2016
  65. Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital Microfluidic System with Intelligent Control for Ultrafast DNA Analysis

    Oral Presentation at the 8th International Symposium on Microchemistry and Microsystems (ISMM)

    Hong Kong May-2016
  66. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, A High-Q Spiral Inductor with Dual-Layer Patterned Floating Shield in a Class-B VCO Achieving a 190.5-dBc/Hz FoM

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 2759-2762 May-2016
  67. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld 50pM-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE International Solid-State Circuits Conference (ISSCC), Digest

    pp. 474-475 Feb-2016
  68. Jun Yin, Pui In Mak, Franco Maloberti, R. P. Martins, A 0.003mm2 1.7-to-3.5GHz Dual-Mode Time-Interleaved Ring-VCO Achieving 90-to-150kHz 1/f3 Phase Noise Corner

    IEEE International Solid-State Circuits Conference (ISSCC), Digest

    pp. 48-49 Feb-2016
  69. Gengzhen Qi, Pui In Mak, R. P. Martins, A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    pp. 452-453 Feb-2016
  70. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, “Student Research Preview,”

    IEEE International Solid-State Circuits Conference (ISSCC)

    Session 2, Paper No. 3 Feb-2016
  71. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, “Student Research Preview,”

    IEEE International Solid-State Circuits Conference (ISSCC)

    Session 3, Paper No. 2 Feb-2016
  72. Chio-In Ieong, Pui In Mak, Mang I Vai, R. P. Martins, Sub-µW QRS Detection Processor Using Quadratic Spline Wavelet Transform and Maxima Modulus Pair Recognition for Power-Efficient Wireless Arrhythmia Monitoring

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  73. Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Time-Domain I/Q-LOFT Compensator Using a Simple Envelope Detector for a Sub-GHz IEEE 802.11af WLAN Transmitte

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  74. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Sub-threshold VLSI Logic Family Exploiting Unbalanced Pull-up/down Network, Logical Effort and Inverse-Narrow-Width Techniques

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  75. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Co-integration with a Digital Microfluidic Device Inside a Portable Magnet

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp. 1-4 Nov-2015
  76. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Thermal-Insensitive Palm-Size µNMR Relaxometer Using Magnetic Field Calibrator for Chemical/Biological Diagnostics

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    pp.302-304 Oct-2015
  77. Limin Yang, Wenya Nan, Xiaoting Qu, Feng Wan, Pui In Mak, Peng Un Mak, Mang I Vai, Yong Hu, Agostinho Rosa, Beta/theta Ratio Neurofeedback Training Effects on the Spectral Topography of EEG

    International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)

    pp. 4741-4744 Aug-2015
  78. Hadi Heidari, Ka-Meng Lei, Pui In Mak, Man-Kay Law, Franco Maloberti, Exploring the Noise Limits of Fully-Differential Micro-Watt Transimpedance Amplifiers for Sub-pA/√Hz Sensitivity

    ,” IEEE Ph.D. Research In Micro-electronics and Electronics (PRIME)

    pp.290-293 Jul-2015
  79. Sanfeng Lu, Man-Kay Law, Pui In Mak, R. P. Martins, Multi-Range, Ultra-Low-Power, -20 to 60°C CMOS Smart Temperature Sensor with ±0.1°C Inaccuracy

    International Symposium on Signals, Circuits and Systems (ISSCS)

    pp. 1-4 Jul-2015
  80. Yong Chen, Pui In Mak, Jiale Yang, Ruifeng Yue, Yan Wang, Comparator with Built-in Reference Voltage Generation and Split-ROM Encoder for a High-Speed Flash ADC

    International Symposium on Signals, Circuits and Systems (ISSCS)

    pp. 1-4 Jul-2015
  81. Jimmy C. F. Ngai, Pui In Mak, Shirley W. I. Siu, Predicting Protein Docking Poses on a Solid Surface by Particle Swarm Optimization

    IEEE Congress on Evolutionary Computation (CEC)

    pp. 2745-2752 May-2015
  82. Changhao Chen, Xutong Cui, Sio Hang Pun, Pui In Mak, Mang I Vai, Achim Klug, Tim Lei, Input Capacitance Compensated Neural Recording Amplifier

    IEEE EMBS Neural Engineering Conference (NER)

    Apr-2015
  83. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.028mm2 11mW Single-Mixing Blocker-Tolerant Receiver with Double-RF N-Path Filtering, S11 Centering, +13dBm OB-IIP3 and 1.5-to-2.9dB NF

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    Pre-doctoral achievement award, pp. 36-37 Feb-2015
  84. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Multi-Step Multi-Sample µNMR Relaxometer Using Inside-Magnet Digital Microfluidics and a Butterfly-Coil-Input CMOS Transceiver

    IEEE International Solid-State Circuits Conference (ISSCC)

    SRP Session 2, Paper No. 1 Feb-2015
  85. Suyan Fan, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.3-V 37.5-nW 1.5~6.5-Input-Range Supply Voltage Tolerant Capacitive Sensor Readout

    IEEE International Symposium on Integrated Circuits (ISIC)

    Dec-2014
  86. Diyang Zhao, Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, Co-Design of a Low-Noise Receiver Front-End and its Exciting-Sensing Coil for Portable NMR-Screening of Chemical/Biological Droplets

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2014
  87. Shiheng Yang, Pui In Mak, R. P. Martins, A 104μW EMI-Resisting Bandgap Voltage Reference Achieving –20dB PSRR, and 5% DC Shift under a 4dBm EMI Level

    IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2014
  88. Ze Wang, Chi Man Wong, Janir Nuno da Cruz, Feng Wan, Pui In Mak, Peng Un Mak, R. P. Martins, Muscle and Electrode Motion Artifacts Reduction in ECG Using Adaptive Fourier Decomposition

    IEEE International Conference on Systems, Man, and Cybernetics (SMC)

    pp.1456-1461 Oct-2014
  89. Wei Wang, Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Micropower Two-Stage Amplifier Employing Recycling Current-Buffer Miller Compensation

    IEEE Int. Symp. on Circuits and Systems (ISCAS)

    pp. 1889-1892 Jun-2014
  90. Xingqiang Peng, Wei-Han Yu, Pui In Mak, R. P. Martins, A 26.3 dBm 2.5 to 6 GHz Wideband Class-D Switched-Capacitor Power Amplifier with 40% Peak PAE

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp. 1-2 Jun-2014
  91. Fujian Lin, Pui In Mak, R. P. Martins, An RF-to-BB current-reuse wideband receiver with parallel N-path active/passive mixers and a single-MOS pole-zero LPF

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp 74-75 Feb-2014
  92. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.5V 1.15mW 0.2mm2 Sub-GHz ZigBee receiver supporting 433/860/915/960MHz ISM bands with zero external components

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 164-165 Feb-2014
  93. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Franco Maloberti, A 0.0013mm2 3.6μW Nested-Current-Mirror Single-Stage Amplifier Driving 0.15-to-15nF Capacitive Loads with >62° Phase Margin

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 288-289 Feb-2014
  94. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Circuit Techniques for Switched-Capacitor Filters

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2014
  95. Yanwei Jia, Pui In Mak, Conner Massey, R. P. Martins, Lawrence J. Wangh, Dried-down Reagents on a Microfluidic Chip for LATE-PCR Amplification and Detection of Single-stranded DNA

    SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore

    Nov-2013
  96. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.127-mm2, 5.6-mW, 5th-Order SC LPF with +23.5-dBm IIP3 and 1.5-to-15-MHz Clock-Defined Bandwidth in 65-nm CMOS

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp 361-364 Nov-2013
  97. Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, An Intelligent Digital Microfluidics with Autonomous Positioning and Fuzzy-Enhanced Feedback Control

    SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore

    Nov-2013
  98. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, Sub-threshold Standard Cell Library Design for Ultra-Low Power Biomedical Applications

    ", International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)

    pp 1454-1457 Jul-2013
  99. Ka Fai Lao, Chi Man Wong, Feng Wan, Pui In Mak, Peng Un Mak, Mang I Vai, Canonical Correlation Analysis Neural Network for Steady-State Visual Evoked Potentials Based Brain-Computer Interfaces

    International Symposium on Neural Networks (ISNN)

    Lecture Notes in Computer Science Volume 7952, 2013, pp 276-283 Jul-2013
  100. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, Peng Un Mak, Feng Wan, R. P. Martins, Standard cell library design with voltage scaling and transistor sizing for ultra-low-power biomedical applications

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) Jun-2013
  101. Tao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, An Ultra-Low Power CMOS Smart Temperature Sensor for Clinical Temperature Monitoring

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp 1-2 Jun-2013
  102. Zhiyuan Chen, Man-Kay Law, Pui In Mak, R. P. Martins, Optimization of Microwatt On-Chip Charge Pump for Single-Chip Solar Energy Harvesting

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp 1-2 Jun-2013
  103. Jiangchao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, A 1.83μW, 0.78μVrms Input Referred Noise Neural Recording Front End

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 405-408 May-2013
  104. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 0.5V 10GHz 8-Phase LC-VCO Combining Current-Reuse and Back-Gate-Coupling Techniques Consuming 2mW

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 2698 - 2701 May-2013
  105. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, 0.0064mm2 12.6µW Three-Stage Amplifier with 1.38MHz GBW at 1nF Capacitive Load

    International Solid-State Circuits Conference, ISSCC 2013 (SRP)

    Feb-2013
  106. Chin Ian Lou, Daria Migotina, João Pedro Rodrigues, Joao Semedo, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Fernando Melicio, J Gomes Pereira, Agostinho Rosa, Object Recognition Test in Peripheral Vision: A Study on the Influence of Object Color, Pattern and Shape

    International Conference on Brain Informatics

    Vol. 7670, pp 18-26 Dec-2012
  107. Yinsidi Jiao, Wei-Han Yu, Pui In Mak, R. P. Martins, A Dynamic-Range-Improved 2.4GHz WLAN Class-E PA Combining PWPM and Cascode Modulation

    IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS)

    pp 148-15 Dec-2012
  108. Zhicheng Lin, Pui In Mak, R. P. Martins, A 1.7mW 0.22mm2 2.4GHz ZigBee RX Exploiting a Current-Reuse Blixer + Hybrid Filter Topology in 65nm CMOS

    International Solid-State Circuits Conference, ISSCC 2013

    pp 448-449 Dec-2012
  109. Yanjie Xiao, Tan-Tan Zhang, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.8-μW 8-Bit 1.5~20-pF-Input-Range Capacitance-to-Digital Converter for Lab-on-Chip Digital Microfluidics Systems

    IEEE Biomedical Circuits and Systems Conference (BIOCAS)

    pp 384-387 Nov-2012
  110. Teng Cao, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Flashing Color on the Performance of SSVEP based Brain-Computer Interfaces

    International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)

    pp 1819-1822 Sep-2012
  111. Wenya Nan, Lanshin Chang, João Pedro Rodrigues, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Agostinho Rosa, Neurofeedback for the Treatment of Schizophrenia: Case Study

    IEEE International Conference on Virtual Environments, Human-Computer Interfaces, and Measurement Systems (VECIMS)

    pp 78-81 Jul-2012
  112. Yue Liu, Xiao Jiang, Teng Cao, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Implement of SSVEP based BCI through Emotiv EPOC

    IEEE International Conference on Virtual Environments, Human-Computer Interfaces, and Measurement Systems (VECIMS)

    pp 34-37 Jul-2012
  113. Junwei Duan, Changhao Chen, Sio Hang Pun, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Yong Hu, A Wearable Wireless General Purpose Bio-signal Acquisition Prototype System for Home Healthcare

    IEEE International Conference on Biomedical Engineering and Biotechnology (ICBEB)

    pp 1176-1179 May-2012
  114. Miguel A. Martins, Pui In Mak, R. P. Martins, A 0.02-to-6GHz SDR Balun-LNA Using a Triple-Stage Inverter-Based Amplifier

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 472-475 May-2012
  115. Wenya Nan, João Pedro Rodrigues, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Agostinho Rosa, A Further Study on Short Term Memory Improvement by Neurofeedback

    IEEE International Conference on Biomedical Engineering and Biotechnology (ICBEB)

    pp 959-961 May-2012
  116. Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, A Digital Microfluidic System with Low Voltage Threshold and Control Module for Droplet Manipulation

    The 3rd International (West Lake) Forum on Microfluidic Analysis (IFMA)

    Apr-2012
  117. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.016mm2 144µW Three-Stage Amplifier Capable of Driving 1-to-15nF Capacitive Load with >0.95MHz GBW

    Digest of Technical Papers from IEEE International Solid-State Circuits Conference (ISSCC 2012, "World Chip Olympic")

    pp 368-369 Feb-2012
  118. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Trial Pruning Based on Genetic Algorithm for Single-Trial EEG Classification

    Engineering in Medicine and Biology Society (EMBC), 2010 Annual International Conference of the IEEE

    pp 4666 - 4669 Jan-2012
  119. Xi Mei Chen, Sio Hang Pun, Yue Ming Gao, Pui In Mak, Min Du, Study on transfer function of intra-body communication based on quasi-static electric field modeling

    Biomedical and Health Informatics (BHI), 2012 IEEE-EMBS International Conference

    pp 388-391 Jan-2012
  120. Miao Liu, Pui In Mak, Yaohua Zhao, R. P. Martins, A Double Active-Decoupling Technique for Reducing Package Effects in a Cognitive-Radio Balun-LNA

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 131-134 Oct-2011
  121. U-Wai Lok, Pui In Mak, Wei-Han Yu, R. P. Martins, A Novel Digital Pre-distortion Technique for Class-E PA with Delay Mismatch Estimation

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 119-122 Oct-2011
  122. Cheng Dong, Chio-In Ieong, Mang I Vai, Peng Un Mak, Pui In Mak, Feng Wan, A Real-Time Heart Beat Detector and Quantitative Investigation based on FPGA

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 65-69 Oct-2011
  123. Chio-In Ieong, Cheng Dong, Agostinho Rosa, Mang I Vai, Peng Un Mak, Feng Wan, Pui In Mak, A Snoring Classifier based on Heart Rate Variability Analysis

    International Conference of Computing in Cardiology (CinC)

    pp. 345-348 Sep-2011
  124. Tan-Tan Zhang, Pui In Mak, Mang I Vai, Peng Un Mak, Feng Wan, R. P. Martins, An Ultra-Low-Power Filtering Technique for Biomedical Applications

    Engineering in Medicine and Biology Society (EMBC), 2010 Annual International Conference of the IEEE

    pp. 1859-1862 Sep-2011
  125. Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, A Solution to Harmonic Frequency Problem: Frequency and Phase Coding-Based Brain-Computer Interface

    International Joint Conference on Neural Networks (IJCNN)

    pp. 2119-2126 Aug-2011
  126. Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Entropy Penalized Learning for Gaussian Mixture Models

    International Joint Conference on Neural Networks (IJCNN)

    pp. 2067-2073 Aug-2011
  127. Sio Hang Pun, Pui In Mak, Mang I Vai, Min Du, The Physical Layer Design of Intra-Body Communication: Model, Transmitter and Receiver

    The 29th International Conference on Consumer Electronics

    pp 605-606 Jun-2011
  128. Xin Wang, Teng Cao, Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, An Online SSVEP-based Chatting System

    International Conference on System Science and Engineering (ICSSE)

    pp. 536-539 Jun-2011
  129. Wenya Nan, Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, A Comparison of Minimum Energy Combination and Canonical Correlation Analysis for SSVEP Detection

    IEEE/EMBS International Conference on Neural Engineering (NER)

    pp. 469-472 May-2011
  130. Miao Liu, Pui In Mak, Zushu Yan, R. P. Martins, A High-Voltage-Enabled Recycling Folded Cascode OpAmp for Nanoscale CMOS Technologies

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 33-36 May-2011
  131. Miguel A. Martins, Pui In Mak, R. P. Martins, A Single-to-Differential LNA Topology with Robust Output Gain-Phase Balancing against Balun Imbalance

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 289-292 May-2011
  132. Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Robust Learning of Mixture Models and Its Application on Trial Pruning for EEG Signal Analysis

    ", PAKDD Workshop on Data Mining for Healthcare Management (DMHM)

    May-2011
  133. Miguel A. Martins, Pui In Mak, R. P. Martins, A Single-to-Differential Low-Noise Amplifier with Robust Output Differential Balancing

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 289-292 May-2011
  134. Yong Chen, Pui In Mak, Yumei Zhou, Hao Ju, Li Zhang, He Qian, Yan Wang, Zhiping Yu, A 6-bit 1.3-GS/s Flash ADC using a Gain-Compensated THA and an Offset-Averaging Preamplifier Array

    in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2011
  135. Yong Chen, Pui In Mak, Yumei Zhou, Hao Ju, Li Zhang, He Qian, Yan Wang, Zhiping Yu, A Fast Lock-in PLL Using a Quadratic V-I Self-Tracking Charge Pump and a Replica-Biased Ring VCO

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1872-1875 May-2011
  136. Teng Cao, Xin Wang, Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, A High Rate Online SSVEP Based Brain-Computer Interface Speller

    IEEE/EMBS International Conference on Neural Engineering (NER)

    pp. 465-468 Apr-2011
  137. Weng-Fai Cheng, Ka-Fai Un, Pui In Mak, R. P. Martins, A highly-linear ultra-wideband balun-LNA for cognitive radios

    IEEE International Conference on Computer as a Tool and Conference on Telecommunications (EUROCON)

    pp. 1-4 Apr-2011
  138. Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Outlier Detection for Single-Trial EEG Signal Analysis

    IEEE/EMBS International Conference on Neural Engineering (NER)

    pp. 478-481 Apr-2011
  139. Pui In Mak, R. P. Martins, A 0.46mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65nm CMOS

    ", IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers

    pp 172-174 Feb-2011
  140. Chio-In Ieong, Mang I Vai, Peng Un Mak, Pui In Mak, ECG Heart Beat Detection Via Mathematical Morphology and Quadratic Spline Wavelet Transform

    IEEE International Conference on Consumer Electronics (ICCE)

    pp 609- 610 Jan-2011
  141. Chi Man Wong, Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, An Improved Phase-Tagged Stimuli Generation Method in Steady-State Visual Evoked Potential Based Brain-Computer Interface

    International Conference on Biomedical Engineering and Informatics (BMEI)

    pp. 745-749 Oct-2010
  142. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Gaussian Mixture Model Based on Genetic Algorithm for Brain-Computer Interfaces

    International Conference on Biomedical Engineering and Informatics (BMEI)

    pp. 4079-4083 Oct-2010
  143. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A Novel Response-Translating Lowpass Filter Achieving 1.4-to-15-Hz Tunable Cutoff for Biopotential Acquisition Systems

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 404-407 Sep-2010
  144. Pui In Mak, Biopotential-Readout Analog Circuits – Recent Advances and Remaining Challenges

    Regional Biomedical Engineering Society Conference

    pp.53 Sep-2010
  145. Tan-Tan Zhang, Jin-Tao Li, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 28-µW EEG Readout Front-End Utilizing a Current-Mode Instrumentation Amplifier and a Source-Follower-Based LPF

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 396-399 Sep-2010
  146. Boyu Wang, Chiman Wong, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Trial Pruning for Classification of Single-Trial EEG Data during Motor Imagery

    International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)

    pp. 4666-4669 Sep-2010
  147. Miguel A. Martins, Ka-Fai Un, Pui In Mak, R. P. Martins, SC Biquad Filter with Hybrid Utilization of OpAmp and Comparator-Based Circuit

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2010

    pp. 1276-1279 Jun-2010
  148. Yong Chen, Pui In Mak, Yumei Zhou, Source-follower-based bi-quad cell for continuous-time zero-pole type filters

    of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 3629-3632 May-2010
  149. Boyu Wang, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, EEG Signals Classification for Brain Computer Interfaces Based on Gaussian Process Classifier

    in Proc. of International Conference on Information, Communications and Signal Processing (ICICS)

    pp. 1-5 Dec-2009
  150. Jin-Tao Li, Sio Hang Pun, Mang I Vai, Peng Un Mak, Pui In Mak, Feng Wan, Design Considerations of Current Mode Instrumentation Amplifier for Portable Biosignal Acquisition System

    in Proc. of IEEE Biomedical Circuits and Systems Conference (BIOCAS)

    pp. 9-12 Nov-2009
  151. Pui In Mak, R. P. Martins, 2×VDD–Enabled TV-Tuner RF Front-End Supporting TV-GSM Interoperation in 90nm CMOS

    IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers

    pp. 278-279 Jun-2009
  152. Boyu Wang, Chi Man Wong, Feng Wan, Peng Un Mak, Pui In Mak, Mang I Vai, Comparison of Different Classification Methods for EEG-Based Brain Computer Interfaces: A Case Study

    in Proc. of International Conference on Information and Automation (ICIA)

    pp. 1416-1421 Jun-2009
  153. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 90nm CMOS Bio-Potential Signals Readout Front-End Utilizing a Novel Chopper Notch Filter for Powerline Interference Rejection

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2009

    pp. 665-668 May-2009
  154. Ka-Fai Un, Pui In Mak, R. P. Martins, An open-loop octave-phase local-oscillator generator with high-precision correlated phases for VHF/UHF mobile-TV tuners

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2009

    pp. 433-436 May-2009
  155. Changhao Chen, Pui In Mak, Tan-Tan Zhang, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 2.4 Hz-to-10 kHz-Tunable Biopotential Filter Using a Novel Capacitor Multiplier

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 372-375 Jan-2009
  156. Keng-Wai Lo, Pui In Mak, R. P. Martins, An Active-Balun LNA with Forestage-Poststage Gain Controls for VHF/UHF Mobile-TV Tuners

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 165-168 Jan-2009
  157. Ka-Fai Un, Pui In Mak, R. P. Martins, A DC-Offset-Compensated, CT/DT Hybrid Filter with Process-Insensitive Cutoff and Low In-Band Group-Delay Variation for WLAN Receivers

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 1360-1363 Dec-2008
  158. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, R. P. Martins, Design of a Low-Power Low-Noise Bio-Potential Readout Front-End in CMOS

    in Proc. of Regional Inter-University Graduate Conference on Electrical Engineering (RIUGCEE)

    Jul-2008
  159. Pui In Mak, Ka Hou Ao Ieong, R. P. Martins, An Open-Source-Input, Ultra- Wideband LNA with Mixed-Voltage ESD Protection for Full-Band (170-to-1700 MHz) Mobile TV Tuners

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 668-671 May-2008
  160. Pui In Mak, Views, Experience, and Prospects for Education in Circuits and Systems

    in Proc. of the IEEE Circuits and Systems Society Education Workshop

    pp. 9 May-2008
  161. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, A Highly-Linear Successive-Approximation Front-End Digitizer with Built-in Sample-and-Hold Function for Pipeline/Two-Step ADC

    in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1947-1950 May-2007
  162. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, A Novel Architecture of Comparator-Mismatch-Free Multi-bit Pipeline ADC

    Proceedings of the Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC), Session of Circuit and System

    pp. 129-132 Jul-2006
  163. Pui In Mak, Seng-Pan U, R. P. Martins, A 1V 14mW-per-Channel Flexible-IF CMOS Analog-Baseband IC for 802.11a/b/g Receivers

    in IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers

    pp. 288-289 Jun-2006
  164. Pui In Mak, Seng-Pan U, R. P. Martins, Design and Test Strategy underlying a Low-Voltage Analog-Baseband IC for 802.11a/b/g WLAN SiP Receivers

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 2473-2479 May-2006
  165. Pui In Mak, Seng-Pan U, R. P. Martins, A 1-V transient-free and DC-offset-canceled PGA with a 17.1-MHz constant bandwidth over 52-dB control range in 0.35-/spl mu/m CMOS

    in Proc. of IEEE Custom Integrated Circuits Conference (CICC)

    pp. 649-652 Sep-2005
  166. Pui In Mak, Seng-Pan U, R. P. Martins, A 1V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35µm CMOS for Low-Cost Wireless SiP

    52nd Edition International Solid-State Circuits Conference – ISSCC 2005, San Francisco, USA, February 2005, and 42nd Edition Design Automation Conference – DAC 2005, Anaheim, California, USA, June 2005

    Student Design Contest – Second Place, Conceptual Category" – Referred in IEEE Solid-State Circuits Society Newsletter, Vol.10, No.3, pp.7-8 Sep-2005
  167. Pui In Mak, Seng-Pan U, R. P. Martins, Multistandard-Compliant Receiver Architecture with low-voltage Implementation

    in Proc. of Ph.D. Research In Micro-Electronics & Electronics (PRIME)

    pp. 223-226 Jul-2005
  168. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, On-Chip Unsteady Reference Voltage Compensation Techniques for Very-High-Speed Pipelined ADC

    in Proc. of Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    pp. 276-280 Jun-2005
  169. Ka Hou Ao Ieong, Chong-Yin Fok, Pui In Mak, Seng-Pan U, R. P. Martins, A Frequency Up-Conversion and Two-Step Channel Selection Embedded CMOS D/A Interface

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 392-395 May-2005
  170. Pui In Mak, Ka Hou Ao Ieong, Chong-Yin Fok, Seng-Pan U, R. P. Martins, A Complex Low-IF Transceiver Architecture for Relaxing Phase Noise and Settling Time Requirements of RF PLL-FS

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 221-226 Oct-2004
  171. Pui In Mak, Seng-Pan U, R. P. Martins, A Power-and-Area Efficient, Multifunctional CMOS A/D Interface for a Low-IF/Zero-IF Reconfigurable Receiver

    ", in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 233-238 Oct-2004
  172. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Model, Characterization and Solutions of Unstable Reference Voltage for Very-High-Speed Pipelined A/D Converters

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 138-143 Oct-2004
  173. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Modeling of Noise Sources in Reference Voltage Generator for Very-High-Speed Pipelined ADC

    in Proc. of the 47th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    vol. 1, pp. 5-8 Jul-2004
  174. Pui In Mak, Seng-Pan U, R. P. Martins, A Low-IF/Zero-IF Reconfigurable Receiver with Two-Step Channel Selection Technique for Multistandard Applications

    ", in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 4, pp. 417-420 May-2004
  175. Pui In Mak, Kin-Kwan Ma, Weng Ieng Mok, Chi-Sam Sou, Kit-Man Ho, Cheng-Man Ng, Seng-Pan U, R. P. Martins, An I/Q-Multiplexed and OTA-Shared CMOS Pipelined ADC with an A-DQS S/H Front-End for Two-Step-Channel-Select Low-IF Receiver

    in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 1068-1071 May-2004
  176. Pui In Mak, Seng-Pan U, R. P. Martins, A Front-to-Back-End Modeling of I/Q Mismatch Effects in a Complex-IF Receiver for Image-Rejection Enhancement

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    pp. 631-634 Dec-2003
  177. Pui In Mak, Chi-Sam Sou, Seng-Pan U, R. P. Martins, Frequency-Downconversion and IF Channel Selection A-DQS Sample-and-Hold Pair for Two-Step-Channel-Select Low-IF Receiver

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    vol. 2, pp. 479-482 Dec-2003
  178. Pui In Mak, Seng-Pan U, R. P. Martins, A Programmable Switched-Capacitor A-DQS Frequency Downconverter for Two-Step Channel Selection Wireless Receiver

    in Proc.of International Conference on ASIC (ASICON)

    vol. 1, pp. 573-576 Oct-2003
  179. Pui In Mak, Weng Ieng Mok, Seng-Pan U, R. P. Martins, I/Q Imbalance Modeling of Quadrature Transceiver Analog Front-Ends in SIMULINK

    in Proc. of IEEE International Conference on Vehicular Technology

    vol. 4, pp. 2371-2374 Oct-2003
  180. Pui In Mak, Seng-Pan U, R. P. Martins, A Novel IF Channel Selection Technique by Analog-Double quadrature Sampling for Complex Low-IF Receivers

    in Proc. of International Conference on Communication Technology (ICCT)

    vol. 2, pp. 1238-1241 Apr-2003
  181. Yang Jiang, Pui-In Mak, Rui Martins, Man-Kay Law, ISSCC – Student Research Preview (SRP) Feb-2017
  182. GengZhen Qi, Ka-Fai Un, Wei-Han Yu, Pui In Mak, Rui P. Martins, A wideband multi-stage inverter-based driver amplifier for IEEE 802.22 WRAN transmitters

    pp.6-9

    Aug-2013
  1. Ka-Meng Lei, Pui-In Mak and Rui P. Martins , Ultra-Low-Voltage Clock References

    in Analog and Mixed-Signal Circuits in Nanoscale CMOS

    Analog Circuits and Signal Processing book series (ACSP), pp. 91-127

    Springer, Cham

    978-3-031-22230-6 Jan-2023
  2. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, Chapter 24 Multiplying DLLs” in “Phase-Locked Frequency Generation and Clocking, Architectures and circuits for modern wireless and wireline systems

    The Institution of Engineering and Technology, London, United Kingdom

    978-1-78561-885-7 May-2020
  3. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, Handheld Total Chemical and Biological Analysis Systems: Bridging NMR, Digital Microfluidics, and Semiconductors

    Springer Press, USA

    978-3-319-67825-2 Jan-2018
  4. Ka-Meng Lei, NAN SUN, Pui In Mak, R. P. Martins, DONHEE HAM, Micro-NMR on CMOS for biomolecular sensing, Chapter in CMOS Circuits for Biological Sensing and Processing [Collaboration Harvard University & University of Macau]
     

    Springer Press: USA, 2018

    Nov-2017
  5. Pui In Mak, Zhicheng Lin, R. P. Martins, Enabling the Internet of Things – from Integrated Circuits to Integrated Systems – Circuit Techniques for IoT-Enabling Short-Range ULP Radios

    Springer

    eBook ISBN 978-3-319-51482-6 Editors: Alioto, Massimo (Ed.) Feb-2017
  6. Zhicheng Lin, Pui In Mak, R. P. Martins, Ultra-Low-Power and Ultra-Low-Cost Short-Range Wireless Receivers in Nanoscale CMOS, Series of Analog Circuits and Signal Processing (ACSP),

    Springer

    ISBN 978-3-319-21524-2 Aug-2015
  7. Pui In Mak, R. P. Martins, High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS

    Series of Analog Circuits and Signal Processing (ACSP), Springer Press

    978-1-4419-9538-4 Apr-2012
  8. Pui In Mak, Seng-Pan U, R. P. Martins, Analog-Baseband Architectures and Circuits – for Multistandard and Low-Voltage Wireless Transceivers

    Analog Circuits and Signal Processing, Springer

    978-1-4020-6432-6 Sep-2007
Go to Top