Wireless IC

Home/Research Group/Wireless IC
Pui In Mak, Zhicheng Lin, R. P. Martins, Ultra-Low-Voltage Current-Reuse Voltage-Controlled Oscillator and Transconductance-Capacitor Filter

Granted Number: 9444431

US Patent

Sep-2016
Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, CMOS Biosensors for In Vitro Diagnosis – Transducing Mechanisms and Applications

RSC Lab on a Chip

2016, 16, pp. 3664-3681 Sep-2016
Jiu Jiang Wang, Sio Hang Pun, Peng Un Mak, Ching-Hsiang Cheng, Yuanyu Yu, Pui In Mak, Improved Analytical Modeling of Membrane Large Deflection with Lateral Force for the Underwater CMUT Based on Von Kármán Equations

IEEE Sensors Journal

vol. 16, Issue: 17, pp. 6633-6640 Sep-2016
Jimmy C. F. Ngai, Pui In Mak, Shirley W. I. Siu, ProtPOS: a python package for the prediction of protein preferred orientation on a surface

Oxford University Press - Bioinformatics

(2016) 32 (16): 2537-2538. Aug-2016
Yuanyu Yu, Sio Hang Pun, Peng Un Mak, Ching-Hsiang Cheng, Jiu Jiang Wang, Pui In Mak, Mang I Vai, Design of a Collapse-Mode CMUT with an Embossed Membrane for Improving Output Pressure

IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control

vol. 63, Issue: 6, pp. 854-863 Jun-2016
Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, Joint-Digital-Predistortion for Wireless Transmitter's I/Q Imbalance and PA Nonlinearities Using an Asymmetrical Complexity-Reduced Volterra Series Model

Springer Analog Integrated Circuits and Signal Processing

vol. 87, pp. 35-47 Apr-2016
Jiangchao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, A 2 µW 45 nV/√Hz Readout Frontend With Multiple Chopping, Active-High-Pass Ripple Reduction Loop and Pseudo-Feedback DC Servo Loop

IEEE Transactions on Circuits and Systems – II

vol. 63, Issue: 4, pp. 351-355 Apr-2016
Wei-Han Yu, Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Non-recursive digital calibration for joint-elimination of transmitter and receiver I/Q imbalances with minimized add-on hardware

Granted Number: 9,276,798

US Patent

Mar-2016
Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld 50pM-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

IEEE International Solid-State Circuits Conference (ISSCC), Digest

pp. 474-475 Feb-2016
Jun Yin, Pui In Mak, Franco Maloberti, R. P. Martins, A 0.003mm2 1.7-to-3.5GHz Dual-Mode Time-Interleaved Ring-VCO Achieving 90-to-150kHz 1/f3 Phase Noise Corner

IEEE International Solid-State Circuits Conference (ISSCC), Digest

pp. 48-49 Feb-2016
Go to Top