R. P. Martins

首頁/R. P. Martins
Prof. Rui Martins
R. P. Martins
馬許願 R. P. Martins
講座教授
Phone: (+853) 8822-4309
Room Number: N6-5033b
  1. Pui In Mak, Jun Yin, Yong Chen, Man-Kay Law, R. P. Martins, 技術發明獎一等獎(創建萬物連網關鍵微電子芯片)

    The Science and Technology Development Fund(FDCT)

    Oct-2020
  2. Chi Hang Chan, Yan Zhu, Yan Lu, Sai Weng Sin, R. P. Martins, 技術發明獎二等獎 (應用於新興系統具前沿能效的數據及電源轉換集成電路設計)

    The Science and Technology Development Fund(FDCT)

    Oct-2020
  3. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, Best Paper Award

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2019
  4. Mo Huang, Yan Lu, Seng-Pan U, R. P. Martins, Takuo Sugano Award for Outstanding Far-East Paper (A Reconfigurable Bidirectional Wireless Power Transceiver with Maximum Current Charging Mode and 58.6% Battery-to-Battery Efficiency)

    IEEE ISSCC 2017

    Feb-2018
  5. Pui In Mak, R. P. Martins, 技術發明獎二等獎(無線通訊和物理傳感芯片-應用於下一代便攜式智能設備功能擴展)

    The Science and Technology Development Fund(FDCT)

    Oct-2016
  6. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, ISSCC Silkroad Award

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2016
  7. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, ISSCC Student Travel Grant Award

    IEEE Solid-State Circuits Society (2016)

    Feb-2016
  8. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, ISSCC Student Travel Grant Award
     

    IEEE Solid-State Circuits Society (2016)

    Feb-2016
  9. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A-SSCC Distinguished Design Award
     

    IEEE Asian Solid-State Circuits Conference (A-SSCC) (2015)

    Feb-2016
  10. Mo Huang, Yan Lu, Xiao-ming Xiong, Seng-Pan U, R. P. Martins, Professional Award (An All-Factor Modulation Bandwidth Extension Technique for Delta-Sigma PLL Transmitter)

    IEEE Region 10

    Nov-2015
  11. Pui In Mak, Man-Kay Law, R. P. Martins, 技術發明獎二等獎(模擬與射頻微電子介面關鍵技術–應用於無處不在無線連接)

    The Science and Technology Development Fund(FDCT)

    Nov-2014
  12. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, ASQED 2013 Best Paper Award (A 2.93 µW 8-bit Capacitance- to -RE Converter for Movable Laboratory Mice blood Pressure Monitoring)

    International Society for Quality Electronic Design(ISQED)

    Aug-2013
  13. R. P. Martins, Seng-Pan U, Pui In Mak, Sai Weng Sin, Second Class Award of the Macao Science and Technology Award – Technological Invention category

    The Science and Technology Development Fund

    Oct-2012
  14. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Travel Grant Award (A 3.8mW 8b 1GS/s 2b/cycle Interleaving SAR ADC with Compact DAC Structure)

    2012 IEEE Symposium on VLSI Circuits – VLSI 2012

    Jun-2012
  15. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, IEEE A-SSCC Student Design Contest Best Design Award (A 35 fJ 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self-Embedded Offset Cancellation)

    IEEE Asian Solid-State Circuits Conference

    Nov-2011
  16. Miao Liu, Pui In Mak, Yaohua Zhao, R. P. Martins, Gold Leaf Certificate (A Double Active-Decoupling Technique for Reducing Package Effects in a Cognitive-Radio Balun-LNA)

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics(PrimeAsia)

    Oct-2011
  17. U-Wai Lok, Pui In Mak, Wei-Han Yu, R. P. Martins, Silver Leaf Certificate (A Novel Digital Pre-distortion Technique for Class-E PA with Delay Mismatch Estimation)

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics(PrimeAsia)

    Oct-2011
  18. Yuan Fei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Bronze Leaf Certificate (A nonlinearity digital background calibration algorithm for 2.5bit/stage pipelined ADCs with opamp sharing architecture)

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    Oct-2011
  19. He Gong Wei, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Silk-Road Award (A 0.024mm2 8-bit 400 MS/s SAR ADC with 2-bit per Cycle and Resistive DAC in 65 nm CMOS)

    Digest of Technical Papers from IEEE International Solid-State Circuits Conference – ISSCC 2011

    [Awarded for best asian PhD student research in ISSCC (“World Chip Olympic”)] Feb-2011
  20. R. P. Martins, Corresponding Member of the Portuguese Academy of Sciences

    Portuguese Academy of Sciences

    Oct-2010
  21. Seng-Pan U, R. P. Martins, HLHL Scientific and Technological Innovation Award (First from Macau)

    He Leung Ho Lee Foundation

    Sep-2010
  22. U-Fat Chio, Hou-Lon Choi, Chi Hang Chan, Si-Seng Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, Award for Research Excellence 2007-2009

    University of Macau

    Apr-2010
  23. Ka-Fai Un, Pui In Mak, R. P. Martins, Certificate of Merit (DC-Offset-Compensated, CT/DT Hybrid Filter with Process-Insensitive Cutoff and Low In-Band Group-Delay Variation for WLAN Receivers)

    IEEE Asia-Pacific Conference on Circuits and Systems – APCCAS’2008

    Dec-2009
  24. Pui In Mak, R. P. Martins, 2010 Outstanding Young Author Award (Design of an ESD-Protected Ultra-Wideband LNA in Nanoscale CMOS for Full-Band Mobile TV Tuners)

    IEEE CAS Society;IEEE Transactions on CAS – Part I: Regular Papers

    Jun-2009
  25. R. P. Martins, Certificate of Merit

    Innovative Entrepreneurship in the Portuguese Diaspora - Award

    Jun-2009
  26. R. P. Martins, Seng-Pan U, Pui In Mak, 2009 World-Chapter of the Year

    IEEE Circuits And Systems Society, IEEE Macau Joint-Chapter on CAS/COM, Founding Chapter Chair 2005-2008

    May-2009
  27. Ka Hou Ao Ieong, Seng-Pan U, R. P. Martins, Merit Paper Award (Design of a 1-V 10-bit 120-MS/s Current-Steering DAC with Transient-Improved Technique)

    The 2006 Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    Apr-2009
  28. Sai Weng Sin, Seng-Pan U, R. P. Martins, Merit Paper Award (Novel Timing-Skew-Insensitive, Multi-Phase Clock Generation Scheme For Parallel Dac And N-Path Filter)

    The 2006 Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    Apr-2009
  29. Li Ding, Sio Chan, Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, 1st Runner-up (A Pseudo-Differential Comparator-Based Pipelined ADC with Common Mode Feedforward Technique)

    The Institution of Engineering and Technology, Hong Kong (Undergraduate Section - IET Young Members Exhibition and Conference 2008)

    Dec-2008
  30. Li Ding, Sio Chan, Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, Champion in IEEE Project Competitions (A Pseudo-Differential Comparator-Based Pipelined ADC with Common Mode Feedforward Technique)

    IEEE Macau Society

    Nov-2008
  31. R. P. Martins, IEEE Fellow for leadership in engineering education (IEEE Fellow (Only awarded to top 0.1% of IEEE members worldwide)

    IEEE (Insititute of Electrical and Electronics Engineering)

    Apr-2008
  32. Pui In Mak, Seng-Pan U, R. P. Martins, Silver Leaf Certificate (Multistandard-Compliant Receiver Architecture with low-voltage Implementation)

    IEEE Ph.D. Research in Microelectronics and Electronics Conference – PRIME'2005

    Jul-2005
  33. R. P. Martins, Certificate of Merit

    IEEE Regional Inter-University Post-graduate Electrical and Electronic Engineering Conference – RIUPEEEC'2005,

    Jul-2005
  34. Pui In Mak, R. P. Martins, Seng-Pan U, Award for Second Place / Conceptual Architecture Category (A 1V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35µm CMOS for Low-Cost Wireless SiP)

    42nd Edition of Design Automation Conference – DAC’2005 (Anaheim)

    Jun-2005
  35. R. P. Martins, Certificate of Merit

    IEEE International Symposium on Circuits and Systems - ISCAS'2005

    May-2005
  36. Sai Weng Sin, Seng-Pan U, R. P. Martins, Selected Student Paper Scholarship (Paper Title I: Sai-Weng Sin, Seng-Pan U and R.P.Martins, “A Novel Low-Voltage Cross-Coupled Passive Sampling Branch for Reset- and Switched-Opamp Circuits”, Paper Title II: Sai-Weng Sin, Seng-Pan U and R.P.Martins, “A Novel Very Low-Voltage SC-CMFB Technique for Fully-Differential Reset-Opamp Circuits” )

    IEEE International Symposium on Circuits and Systems (ISCAS)

    May-2005
  37. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Merit Paper Award (On-Chip Unsteady Reference Voltage Compensation Techniques for Very-High-Speed Pipelined ADC)

    awarded from The 2005 Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    Apr-2005
  38. Pui In Mak, Seng-Pan U, R. P. Martins, Best Paper Award (A Power-and-Area Efficient, Multifunctional CMOS A/D Interface for a Low-IF/Zero-IF Reconfigurable Receiver)

    IEEJ (7th) International Analog VLSI Workshop (AVLSIWS 2004)

    Jul-2004
  39. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, "2nd Prize” in Student Paper Contest (Modeling of Noise Sources in Reference Voltage Generator for Very-High-Speed Pipelined ADC)

    IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2004)

    Jul-2004
  40. Pui In Mak, Seng-Pan U, R. P. Martins, Outstanding Student Paper Award (A Programmable Switched-Capacitor A-DQS Frequency Downconverter for Two-Step Channel Selection Wireless Receiver)

    The 5th International Conference on ASIC

    Oct-2003
  41. R. P. Martins, Honorary Title of Value

    Decoration attributed by the Macao Special Administrative Region Government (Chinese Administration)

    Dec-2001
  42. R. P. Martins, Medal of Merit (Class of Professional Merit)

    Decoration attributed by the Macao Government (Portuguese Administration)

    Dec-1999
  1. Chi-Wa U, Chon-Fai Lee, Man-Kay Law, Chi-Seng Lam and Rui P. Martins, Calibration Circuit of Current Mode Bandgap Voltage Reference (电流模带隙基准电压源的校准电路)

    No. 202111304187.0

    Chinese Patent for Invention, Granted

    Jan-2023
  2. Wen-Liang Zeng, Chi-Seng Lam, Sai-Weng Sin, Weng-Keong Che, Ran Ding and Rui P. Martins, Control System for Buck Converter

    No. 11,545,901

    US Utility Patent, Granted

    Jan-2023
  3. Zhicong Huang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Wireless Charging Circuit and System

    No. 201911279433.4

    Chinese Patent for Invention

    Jul-2020
  4. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, Single-Loop Linear-Exponential Multi-Bit Incremental Analog-to-Digital Converter

    No. 10,644,718 B1

    US Patent

    Jul-2020
  5. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electrode-voltage Waveform for Droplet-velocity and Chip-lifetime Improvements of Digital Microfluidic Systems

    Granted Number: 9,808,800

    US PATENT

    Nov-2017
  6. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electronic Module for Real-time Droplet-position Sensing and Driving in Digital Microfluidic System

    Granted Number: 9,751,083,

    US PATENT

    Sep-2017
  7. Pui In Mak, Zhicheng Lin, R. P. Martins, Radio-Frequency-to-Baseband Function-Reuse Receiver with Shared Amplifiers for Common-Mode and Differential-Mode Amplification

    Granted Number: 9,673,781

    US Patent

    Jun-2017
  8. Man-Chung Wong, Chi-Seng Lam, Yan-Zheng Yang, Wai-Hei Choi, Ning-Yi Dai, Ya-jie Wu, Chi-Kong Wong, Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, Mixed signal controller

    Granted, No. 9,692,232

    US patent

    Jun-2017
  9. Pui In Mak, Zhicheng Lin, R. P. Martins, Gain-Boosted N-path Passive-Mixer-First Receiver with a Single-Mixing Step

    Granted Number: 9,680,448

    US Patent

    Jun-2017
  10. Zhicheng Lin, Pui In Mak, R. P. Martins, Complex-Pole Load Offering Concurrent Image Rejection and Channel Selection

    Granted Number: 9479140

    US Patent

    Oct-2016
  11. Pui In Mak, Zhicheng Lin, R. P. Martins, Ultra-Low-Voltage Current-Reuse Voltage-Controlled Oscillator and Transconductance-Capacitor Filter

    Granted Number: 9444431

    US Patent

    Sep-2016
  12. Pui In Mak, Zhicheng Lin, R. P. Martins, Gain-Boosted N-Path Bandpass Filter

    Granted Number: 9374063

    US Patent

    Jun-2016
  13. Pui In Mak, Fujian Lin, R. P. Martins, An RF-to-BB-Current-Reuse Wideband Receiver with Parallel N-Path Active/Passive Mixers

    Granted Number: 9356636

    US Patent

    May-2016
  14. Zhicheng Lin, Pui In Mak, R. P. Martins, IF-Noise-Shaping Transistorized Current-Mode Lowpass Filter Utilizing Cross-Coupled Transistors

    Granted Number: 9,306,540

    US Patent

    Apr-2016
  15. Wei-Han Yu, Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Non-recursive digital calibration for joint-elimination of transmitter and receiver I/Q imbalances with minimized add-on hardware

    Granted Number: 9,276,798

    US Patent

    Mar-2016
  16. Pui In Mak, Fujian Lin, R. P. Martins, RF-to-BB-Current-Reuse Wideband Receiver with a Single-MOS Pole-Zero LPF

    Granted Number: 9,270,314

    US Patent

    Feb-2016
  17. Zhicheng Lin, Pui In Mak, R. P. Martins, ZigBee Receiver Exploiting an RF-to-BB Current-Reuse Blixer and Hybrid Filter Topology

    Granted Number: 9,237,055

    Application Number: 14/254,318

    US Patent

    Jan-2016
  18. Ka-Fai Un, Pui In Mak, R. P. Martins, Wideband Driver Amplifier

    Granted Number: 9,172,337

    Application Number: 14/073,014

    US Patent

    Oct-2015
  19. Ka-Fai Un, Pui In Mak, R. P. Martins, Poly-Phase Local Oscillator

    Granted Number: 9,093,951

    Application number: 14/073,060

    US Patent Application

    Jul-2015
  20. Ka-Fai Un, Pui In Mak, R. P. Martins, Wireless Transmitter

    Granted Number: 9,037,100

    Application Number: 14/073,082

    US Patent

    May-2015
  21. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Cooperative-electrode Driving Technique for Droplet-velocity Improvement of Digital Microfluidic Systems

    Reference No. US 14/683,316

    US PATENT

    Apr-2015
  22. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electrode-voltage Waveform for Droplet-velocity and Chip-lifetime Improvements of Digital Microfluidic Systems

    Application Number: 14/683,402

    US Patent

    Apr-2015
  23. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Electronic Module for Real-time Droplet-position Sensing and Driving in Digital Microfluidic System

    Application Number: 14/680,671

    US Patent

    Apr-2015
  24. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Frequency Compensation Techniques for Low-Power and Small-Area Multistage Amplifiers

    Granted Number: 8,963,639

    Application Number: 13/770,020

    US Patent

    Feb-2015
  25. Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, Sampling front-end for analog to digital converter

    Granted Number: 8,947,283

    Application Number: 13/915,949

    US patent

    Feb-2015
  26. Zhicheng Lin, Pui In Mak, R. P. Martins, IF-Noise-Shaping Transistorized Current-Mode Lowpass Filter Utilizing Cross-Coupled Transistors

    Application Number: 14/255,087

    US Patent

    Apr-2014
  27. Zhicheng Lin, Pui In Mak, R. P. Martins, Complex-Pole Load Offering Concurrent Image Rejection and Channel Selection

    Granted number: No. 9,237,055

    Application number: US 14/254,318

    US Patent

    Apr-2014
  28. Sai Weng Sin, Li Ding, Yan Zhu, He Gong Wei, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, Franco Maloberti, Analog to Digital Converter Circuit

    Granted Number: 201242261

    Application Number: 100107757

    Taiwan Patent

    Mar-2014
  29. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Delay Generator

    Granted Number: 201246793

    Application Number: 100116148

    Taiwan Patent

    Mar-2014
  30. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Cascade Analog to Digital Converting System

    Granted Number: 8,466,823

    Application Number: 13/198,856

    US Patent

    Jun-2013
  31. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Delay Generator

    Granted Number: 8,441,295

    Application Number: 13/289,229

    US Patent

    May-2013
  32. Sai Weng Sin, He Gong Wei, Li Ding, Yan Zhu, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, Franco Maloberti, A Time-Inteleaved Piplined-SAR Analog to Digital Converter with Low Power Consumption

    Granted Number: 8,427,355

    Application Number: 13/232,442

    US Patent

    Apr-2013
  33. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, N-Bits Successive Approximation Register Analog-to-Digital Converting System

    Granted Number: 8,344,931

    Application Number: 13/150,508

    US Patent

    Jan-2013
  34. Pui In Mak, Seng-Pan U, R. P. Martins, Switched Current-Resistor Programmable Gain Array for Low-Voltage Wireless LAN System and Method Using the Same

    Granted Number: 8,229,382

    Application Number:12/355,658,

    US Patent

    Jul-2012
  35. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection for Wireless Transmitter Front-Ends

    Granted Number: 8,019,290

    Application Number 12/203,837

    US Patent

    Sep-2011
  36. Pui In Mak, Seng-Pan U, R. P. Martins, DC-Offset Canceled Programmable Gain Array for Low-Voltage Wireless LAN System and Method Using the Same

    Granted Number: 7,948,309

    Application Number: 12/355,658

    US Patent

    May-2011
  1. Dan Shi, Ka-Meng Lei, Rui P. Martins and Pui-In Mak, A 0.4-V 0.0294-mm2 Resistor-Based Temperature Sensor Achieving ±0.24 °C p2p Inaccuracy From −40 °C to 125 °C and 385 fJ·K2 Resolution FoM in 65-nm CMOS

    IEEE JOURNAL OF SOLID-STATE CIRCUITS

    VOL. 58, NO. 9, pp. 2543 - 2553 Sep-2023
  2. LIWEN LIN, KA-MENG LEI, PUI-IN MAK AND RUI P. MARTINS, An Ultra-Low-Voltage Single-Crystal Oscillator-Timer (XO-Timer) Delivering 16-MHz and 32.258-kHz Clocks for Sub-0.5 V Energy-Harvesting BLE Radios in 28-nm CMOS

    IEEE Open J. Circuits Syst.

    Mar-2023
  3. Ya-Jie Wu, Ricardo Brito, Wai-Hei Choi, Chi-Seng Lam, Man-Chung Wong, Sai-Weng Sin and Rui Paulo Martins, IOT Cloud-Edge Reconfigurable Mixed-Signal Smart Meter Platform for Arc Fault Detection

    IEEE Internet of Things Journal (IoT)

    vol. 10, no. 2, pp. 1682 - 1695 Jan-2023
  4. Zhongyu Zhao, Rujian Cao, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui P. Martins, An FPGA-Based Transformer Accelerator Using Output Block Stationary Dataflow for Object Recognition Applications

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 70, no. 1, pp. 281-285 Jan-2023
  5. Tingxu Hu, Mo Huang, Yan Lu and R. P. Martins, A Capacitor-Cross-Connected Boost Converter With Duty Cycle < 0.5 Control for Extended Conversion-Ratio and Soft Start-Up

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 69, no. 10, pp. 4272–4283 Oct-2022
  6. Yang Jiang, Man Kay Law , Pui In Mak, Rui P. Martins, Arithmetic Progression Switched-Capacitor DC-DC Converter Topology With Soft VCR Transitions and Quasi-Symmetric Two-Phase Charge Delivery

    IEEE Journal of Solid-State Circuits (JSSC)

    May-2022
  7. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti, and Rui P. Martins, A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less Single-Loop PAM-4 Bang-Bang CDR With a Deliberate-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 57, no. 5, pp. 1358-1371 May-2022
  8. Man Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, Miniaturized Energy Harvesting Systems Using Switched-Capacitor DC-DC Converters

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), 2022

    Apr-2022
  9. Kishore K. P. Churchill, Harikrishnan Ramiah, Gabriel Chong, Mohd Yazed Bin Ahmad. Jun Yin, Pui-In Mak, Rui P. Martins, A 0.15-V, 44.73% PCE Charge Pump with CMOS Differential Ring-VCO for Energy Harvesting Systems

    Springer Analog Integrated Circuits and Signal Processing

    Vol. 111, pp 35–43 Apr-2022
  10. Io-Wa Iam, Iok-U Hoi, Zhicong Huang, Cheng Gong, Chi-Seng Lam, Pui In Mak, R. P. Martins, Constant-frequency non-communication-based inductive power transfer converter for battery charging

    IEEE Journal of Emerging and Selected Topics in Power Electronics (JESTPE)

    Vol.10, no.2, pp. 2147-2162 Apr-2022
  11. Jian Liu, Mo Huang, Yan Lu and Rui P. Martins, RF Rectifiers With Wide Incident Angle of Incoming Waves Based on Rat-Race Couplers

    IEEE Transactions on Microwave Theory and Techniques

    vol. 70, no. 3, pp. 1983–1993 Mar-2022
  12. Xiaoteng Zhao, Yong Chen, Pui-In Mak, and Rui P. Martins, A 0.0285-mm2 0.68-pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate FD Pulling off an 8.2-(Gb/s)/µs Acquisition Speed of PAM-4 Input in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 57, pp. 546–561 Feb-2022
  13. Xiaoqi Lin, Jun Yin, Pui-In Mak and Rui P. Martins, A Swing-Enhanced Class-D VCO Using a Periodically Time-Varying (PTV) Inductor

    IEEE Solid-State Circuits Letters

    vol. 5, pp. 25–28 Feb-2022
  14. Mingzhong Li, Liang Wan, Man-Kay Law, Li Meng, Yanwei Jia, Pui-In Mak and Rui P. Martins, One-shot high-resolution melting curve analysis for KRAS point-mutation discrimination on a digital microfluidics platform

    RSC Lab on a Chip

    vol. 22, pp. 537-549 Feb-2022
  15. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, A 1.7-3.6-GHz 20-MHz-Bandwidth Channel-Selection N-Path Passive-LNA Achieving 23.5dBm OB-IIP3 and 3.4-4.8dB NF

    IEEE Journal of Solid-State Circuits

    vol. 57, pp. 413–422 Feb-2022
  16. Hao Guo, Yong Chen, Chaowei Yang, Pui-In Mak, and Rui P. Martins, A Millimeter-Wave CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RCLM Tank

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 69, no. 1, pp. 172 - 185 Jan-2022
  17. Hao Guo, Yong Chen, Chaowei Yang, Pui-In Mak, Rui P. Martins , A Millimeter-Wave Single-Core CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RLCM Tank

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 172-185 Jan-2022
  18. Peng Chen, Xi Meng, Jun Yin, Pui-In Mak, Rui P. Martins, R. B. Staszewski, A 529 μW Fractional-N All-Digital PLL Using TDC Gain Auto-Calibration and an Inverse-Class-F DCO in 65-nm CMOS

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 51–63 Jan-2022
  19. Chi-Wa U, Man-Kay Law, Chi-Seng Lam, Rui P. Martins, Switched-Capacitor Bandgap Voltage Reference for IoT Applications

    IEEE Transactions on Circuits and Systems I - Regular Papers (TCAS-I)

    vol. 69, no. 1, pp. 16-29 Jan-2022
  20. Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, A Low-Power Multiband Blocker-Tolerant Receiver with a Steep Filtering Slope Using an N-Path LNA with Feedforward OB Blocker Cancellation and Filtering-By-Aliasing Baseband Amplifiers

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 220–231 Jan-2022
  21. Peng Chen, Jun Yin, Feifei Zhang, Pui-In Mak, Rui P. Martins, R. B. Staszewski, Mismatch Analysis of DTCs with an Improved BIST-TDC in 28nm CMOS

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 196-206 Jan-2022
  22. Jieyun Zhang, Chongyao Xu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, A 4T/Cell Amplifier-Chain-Based XOR PUF With Strong Machine Learning Attack Resilience

    IEEE Transactions on Circuits and Systems I

    vol. 69, pp. 366-377 Jan-2022
  23. Jiao Zhai, Caiwei Li, Haoran Li, Shuhong Yi, Ning Yang, Kai Miao, Chuxia Deng, Yanwei Jia, Pui-In Mak and Rui P. Martins, , Cancer Drug Screening with On-Chip Multi-Drug Dispenser in Digital Microfluidic

    RSC Lab on a Chip

    Vol. 21, no. 24, pp 4749–4759 Dec-2021
  24. Chuang Wang; Yan Lu; Mo Huang; Rui P. Martins, A Two-Phase Three-Level DC-DC Buck Converter with Cross-Connected Flying Capacitors for Inductor Current Balancing

    IEEE Transactions on Power Electronics

    Vol.36, no. 12. pp. 13855-13866. Dec-2021
  25. Xiangyu Mao, Yan Lu, and Rui P. Martins, A Scalable High-Current High-Accuracy Dual-Loop Four-Phase Switching LDO for Microprocessors

    early access

    IEEE Journal of Solid-State Circuits

    Dec-2021
  26. Meiqing Liu, Ren Shen, Haoran Li, Yanwei Jia, Pui-In Mak and Rui P. Martins, Ratiometric fluorescence analysis for miR-141 detection with hairpin DNA-templated silver nanoclusters

    Journal of Materials Chemistry C

    pp. 655-664 Dec-2021
  27. Selvakumar Mariappan, Jagadheswaran Rajendran, Yong Chen, Pui-In Mak, Rui P. Martins, A 1.7-to-2.7GHz 35-38% PAE Multiband CMOS Power Amplifier Employing a Digitally-Assisted Analog Pre-distorter (DAAPD) Reconfigurable Linearization Technique

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.11.pp. 3381-3385 Nov-2021
  28. Qiaobo Ma, Xiongjie Zhang, Yang Jiang, Katsuhiro Hata, Makoto Takamiya, Man-Kay Law, Pui-In Mak and Rui P. Martins, A multi-path switched-capacitor-inductor hybrid DC-DC converter with reduced inductor loss and extended voltage conversion range

    IEICE Electronics Express

    Vol.18, No.22, pp 1–6 Nov-2021
  29. Xiongjie Zhang, Qiaobo Ma, Yang Jiang, Man Kay Law, Pui In Mak, Rui P. Martins, A 12V-to-1V switched-capacitor-assisted hybrid converter with dual-path charge conduction and zero-voltage switching

    IEICE Electronics Express

    vol. 18, no. 22, pp. 1-5 Nov-2021
  30. Rui P. Martins; Pui-In Mak; Sai-Weng Sin; Man-Kay Law; Yan Zhu; Yan Lu; Jun Yin; Chi-Hang Chan; Yong Chen; Ka-Fai Un; Mo Huang; Minglei Zhang; Yang Jiang; Wei-Han Yu, Revisiting the Frontiers of Analog and Mixed-Signal Integrated Circuits Architectures and Techniques towards the future Internet of Everything (IoE) Applications

    Foundations and Trends in Integrated Circuits and Systems

    Volume 1, Issue 2-3 Nov-2021
  31. Dongyang Jiang; Sai-Weng Sin; Liang Qi; Guoxing Wang; Rui P. Martins, Recent Advances in High-Resolution Hybrid Discrete-Time Noise-Shaping ADCs

    IEEE Open Journal of the Solid-State Circuits Society

    Vol.1, pp. 129-139 Oct-2021
  32. Tingxu Hu; Mo Huang; Yan Lu; Xiu Yin Zhang; Franco Maloberti; Rui P. Martins, A 2.4-GHz CMOS Differential Class-DE Rectifier with Coupled Inductors

    IEEE Transactions on Power Electronics

    Vol.36, No 9, pp. 9864-9875 Sep-2021
  33. Yuanfei Wang, Mo Huang, Ping Luo, Yan Lu, and Rui P. Martins, Adaptive Maximum Power Point Tracking with Model-Based Negative Feedback Control and Improved V-f Model

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, no. 9, pp. 3103–3107 Sep-2021
  34. Jie Lin, Yan Lu, Chenchang Zhan and R. P. Martins, A Single-Stage Dual-Output Regulating Rectifier with Hysteretic Current-Wave Modulation

    IEEE Journal of Solid-State Circuits

    vol. 56, no. 9, pp. 2770–2780 Sep-2021
  35. Shiheng Yang, Jun Yin, Tailong Xu, Taimo Yi, Pui-In Mak, Qiang Li and Rui P. Martins, A 600-µm2 Ring-VCO-Based Type-II Hybrid PLL Using a 30-μW Charge-Sharing Integrator in 28-nm CMOS

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No9, pp. 3108-3112 Sep-2021
  36. Fangyu Mao, Yan Lu, Edoardo Bonizzoni, Filippo Boera, Mo Huang, Franco Maloberti, Rui P Martins, A Hybrid Single-Inductor Bipolar-Output DC-DC Converter With Floating Negative Output for AMOLED Displays

    IEEE Journal of Solid-State Circuits

    vol. 56, no. 9, pp. 2760–2769 Sep-2021
  37. Jiangchao Wu, Hou-Man Leong, Ka-Chon Lei, Yang Jiang, Man Kay Law, Pui In Mak, Rui P. Martins, A Fully Integrated 10-V Pulse Driver Using Multiband Pulse-Frequency Modulation in 65-nm CMOS

    IEEE Transactions on VLSI Systems

    vol. 29, no.9, pp. 1665-1669 Sep-2021
  38. Jixuan Li; Ka-Fai Un; Wei-Han Yu; Pui-In Mak; Rui P. Martins, An FPGA-Based Energy-Efficient Reconfigurable Convolutional Neural Network Accelerator for Object Recognition Applications

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, no. 9, pp. 3143-3147 Sep-2021
  39. Yunbo Huang, Yong Chen, Hailong Jiao, Pui-In Mak and Rui P. Martins, A 3.36-GHz Locking-Tuned Type-I Sampling PLL with -78.6-dBc Reference Spur Merging Single-Path Reference-Feedthrough-Suppression and Narrow-Pulse-Shielding Techniques

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.9,pp. 3093-3097 Sep-2021
  40. Ka-Meng Lei, Pui-In Mak, Rui P. Martins, A 0.35-V 5,200-µm2 2.1-MHz Temperature-Resilient Relaxation Oscillator with 667fJ/cycle Energy Efficiency Using an Asymmetric Swing-Boosted RC Network and a Dual-Path Comparator

    IEEE Journal of Solid-State Circuits

    vol. 56, No,9, pp. 2701-2710 Sep-2021
  41. Ning Yang, Wenhao Hui, Sizhe Dong, Xuming Zhang, Liyang Shao, Yanwei Jia, Pui-In Mak, Rui P. Martins, Temperature Tolerance Electric Cell-Substrate Impedance Sensing (ECIS) for Joint Assessment of Cell Viability and Vitality

    ACS Sensors

    2021, 6, 10, 3640–3649 Aug-2021
  42. Dongyang Jiang; Liang Qi; Sai-Weng Sin; Franco Maloberti; Rui P. Martins, A Time-Interleaved 2nd-Order ΔΣ Modulator Achieving 5-MHz Bandwidth and 86.1-dB SNDR Using Digital Feed-Forward Extrapolation

    IEEE Journal of Solid-State Circuits

    Vol.56, No 8, pp. 2375-2387 Aug-2021
  43. Mo Huang, Yan Lu, Tingxu Hu, and Rui P. Martins, A Hybrid Boost Converter With Cross-Connected Flying Capacitors

    IEEE Journal of Solid-State Circuits

    vol. 56, no. 7, pp. 2102–2112 Jul-2021
  44. Zihao Zheng; Lai Wei; Jorge Lagos; Ewout Martens; Yan Zhu; Chi-Hang Chan; Jan Craninckx; Rui P. Martins, A 3.3-GS/s 6-b Fully Dynamic Pipelined ADC With Linearized Dynamic Amplifier

    IEEE Journal of Solid-State Circuits

    Early Access Jul-2021
  45. Zhaobo Zhang, Chenchang Zhan, Man-Kay Law, Yang Jiang, Pui-In Mak, Rui P. Martins, A High-Efficiency Dual-Antenna RF Energy Harvesting System using Full-Energy Extraction with Improved Input Power Response

    IEEE Open Journal of Circuits and Systems

    vol. 2, pp. 436–444 Jul-2021
  46. Chuang Wang; Yan Lu; Rui P. Martins, A Highly Integrated 3-Phase 4:1 Resonant Switched-Capacitor Converter With Parasitic Loss Reduction and Fast Pre-Charge Startup

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol.68, No 7, pp. 2608-2612 Jul-2021
  47. Zunsong Yang, Yong Chen, Pui-In Mak, Rui P. Martins, A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 68, No.6, pp. 2307-2316 Jun-2021
  48. Yan Song; Yan Zhu; Chi-Hang Chan; Rui P. Martins, A 40-MHz Bandwidth 75-dB SNDR Partial-Interleaving SAR-Assisted Noise-Shaping Pipeline ADC

    EEE Journal of Solid-State Circuits

    Vol.56, No.6. pp. 1772 -1783 Jun-2021
  49. Chuang Wang; Yan Lu; Nan Sun; Rui P. Martins, A 3-Phase Resonant Switched-Capacitor Converter for Data Center 48-V Rack Power Distribution

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol 68, no.6, pp. 2714-2724 Jun-2021
  50. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Pui-In Mak, R. P. Martins, A Wide-PCE-Dynamic-Range CMOS Cross-Coupled Differential-Drive Rectifier for Ambient RF Energy Harvesting

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, No.6, pp. 1743-1747 Jun-2021
  51. Guigang Cai, Yan Lu, Chenchang Zhan, and Rui P. Martins, A Fully Integrated FVF LDO With Enhanced Full-Spectrum Power Supply Rejection

    IEEE Transactions on Power Electronics

    vol. 36, no. 4, pp. 4326–4337 Apr-2021
  52. Selvakumar Mariappan, Jagadheswaran Rajendran, Harikrishnan Ramiah, Pui-In Mak, Jun Yin, Rui P. Martins, An 800 MHz-to-3.3 GHz 20-MHz Channel Bandwidth WPD CMOS Power Amplifier For Multiband Uplink Radio Transceivers

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, pp. 1178-1182 Apr-2021
  53. Rui P. Martins, Pui-In Mak, Chi-Hang Chan, Jun Yin, Yan Zhu, Yong Chen, Yan Lu, Man-Kay Law, Sai-Weng Sin, Bird’s-eye view of Analog and Mixed-Signal Chips for the 21st Century

    International Journal of Circuit Theory and Applications

    vol. 49,No 3, pp. 746-761 Mar-2021
  54. Lingshan Kong, Yong Chen, Haohong Yu, Chirn Chye Boon, Pui-In Mak and Rui P. Martins, Wideband Variable-Gain Amplifiers Based on a Pseudo-Current-Steering Gain-Tuning Technique

    IEEE Access

    vol. 9, pp. 35814–35823 Feb-2021
  55. Hong Shui Zhang, Yan Zhu, Chi Hang Chan, R. P Martins, A 25MHz-BW 75dB-SNDR Inherent Gain Error Tolerance Noise-Shaping SAR-Assisted Pipeline ADC with Background Offset Calibration Feb-2021
  56. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins , A 5.0-to-6.36GHz Wideband-Harmonic-Shaping VCO Achieving 196.9dBc/Hz Peak FoM and 90-to-180kHz 1/f3 PN Corner Without Harmonic Tuning Feb-2021
  57. Zunsong Yang, Yong Chen, Jia Yuan, Pui-In Mak, and Rui P. Martins, A 3.3-GHz Integer-N Type-II Sub-Sampling PLL Using a BFSK-Suppressed Push-Pull SS-PD and a Fast-Locking FLL Achieving −82.2-dBc REF Spur and −255-dB FOM

    IEEE Transactions on VLSI systems

    vol. 30, pp. 238–242 Feb-2021
  58. Meiqing Liu, Haoran Li, Yanwei Jia, Pui In Mak, Rui P Martins, SARS-CoV-2 RNA detection with duplex-specific nuclease signal amplification

    Micromachines, 12, 197

    Feb-2021
  59. Meiqing Liu, Haoran Li, Yanwei Jia, Pui-In Mak, Rui P. Martins, SARS-CoV-2 RNA Detection with Duplex-Specific Nuclease Signal Amplification

    MDPI Micromachines

    vol. 12, 197 Feb-2021
  60. Mo Huang, Yan Lu, and Rui P. Martins, Review of Analog-Assisted-Digital and Digital-Assisted-Analog Low Dropout Regulators

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 68, no. 1, pp. 24–29 Jan-2021
  61. Xiaoteng Zhao, Yong Chen, Pui-In Mak, R. P. Martins, A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol. 68, no1, pp. 89-102 Jan-2021
  62. Zhicong Huang, Zhijian Fang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Cost-effective compensation design for output customization and efficiency optimization in series/series-parallel inductive power transfer converter

    IEEE Transactions on Industrial Electronics (TIE)

    vol. 67, no. 12 pp. 10356 - 10365 Dec-2020
  63. Wen-Liang Zheng, Yuan Ren, Chi-Seng Lam, Sai Weng Sin, Weng-Keong Che, Ran Ding, R. P. Martins, A 470nA quiescent current and 92.7%/94.7% efficiency DCT/PWM control buck converter with seamless mode transition for IoT application

    IEEE Transactions on Circuits and Systems I - Regular Papers (TCAS-I)

    Vol.67, No.11, pp 4085-4098 Nov-2020
  64. Haoran Li, Ren Shen, Cheng Dong, Tianlan Chen, Yanwei Jia, Pui-In Mak, Rui P. Martins, Turning on/off satellite droplet ejection for flexible sample delivery on digital microfluidics

    Lab on a Chip, 20,3709-3719, 2020

    Inside Front Cover

    Oct-2020
  65. Jiao Zhai, Haoran Li, Ada Hang-Heng Wong, Cheng Dong, Shuhong Yi, Yanwei Jia, Pui-In Mak, Chuzia Deng, Rui P. Martins, A Novel and Robust Single-cell Trapping Method on Digital Microfluidics

    Bio-protocol, vol.10, issue19, e3769, 2020

    Oct-2020
  66. Fangyu Mao, Yan Lu, R. P. Martins, A VHF Wide Input Range CMOS Passive Rectifier with Active Bias Tuning

    IEEE Journal of Solid-State Circuits

    Vol.55, no.10, pp. 2629 - 2638 Oct-2020
  67. Zhicong Huang, Chi-Seng Lam, Pui In Mak, R. P. Martins, Siu-Chung Wong, Chi K. Tse, A single-stage inductive-power-transfer converter for constant-power and maximum-efficiency battery charging

    IEEE Transactions on Power Electronics (TPEL)

    vol. 35, no. 9, pp. 8973 – 8984 Sep-2020
  68. Wen-Liang Zeng, Edoardo Bonizzoni, Chi-Wa U, Chi-Seng Lam, Sai-Weng Sin, U-Fat Chio, Franco Maloberti, Rui Paulo Martins, A SAR-ADC-Assisted DC-DC Converter with Fast Transient Recovery

    IEEE Transactions on Circuits and Systems II - Express Briefs (TCAS-II)

    Sep-2020
  69. Zhiyuan Chen, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier With Capacitor Reuse for Input Power Adaptation

    IEEE Journal of Solid-State Circuits

    Vol.55, no.8, pp. 2106-2117 Aug-2020
  70. Jiao Zhai, Haoran Li, Ada Hang-Heng Wong, Cheng Dong, Shuhong Yi, Yanwei Jia, Pui In Mak, Chuxia Deng, R. P. Martins, A Digital Microfluidic System with 3D Microstructures for Single-Cell Culture

    Microsystems & Nanoengineering (Nature Publishing Group)

    (2020) 6:6 Jun-2020
  71. Wei Wang, Chi Hang Chan, Yan Zhu, R. P. Martins, A 100-MHz BW 72.6-dB-SNDR CT ΔΣ Modulator Utilizing Preliminary Sampling and Quantization

    IEEE Journal of Solid-State Circuits

    vol. 55, no. 6, pp. 1588-1598 Jun-2020
  72. Mo Huang, Yan Lu, R. P. Martins, An Analog-Proportional Digital-Integral Multiloop Digital LDO With PSR Improvement and LCO Reduction

    IEEE Journal of Solid-State Circuits

    vol. 55, no. 6, pp. 1637–1650, Jun-2020
  73. Xin Lu, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, and Rui P. Martins, A 4-μm Diameter SPAD Using Less-Doped N-Well Guard Ring in Baseline 65-nm CMOS

    IEEE Transactions on Electron Devices (TED)

    Vol 67. Issue 5, 2020. May-2020
  74. Nandini Viteea, Harikrishnan Ramiah, Pui In Mak, Jun Yin, R. P. Martins, A 1-V 4-mW Multiple-Feedback Differential-Folded Mixer Achieving 18.4-dB Conversion Gain, +12.5-dBm IIP3 and 8.5-dB NF

    IEEE Transactions on VLSI Systems

    vol. 28, pp. 1164-1174 May-2020
  75. Ren Shen, Yanwei JIa, Pui-In Mak, Rui P. Martins, Clip to release on amplification (CRoA): a novel enhancer for DNA amplification on and off microfluidics

    Lab on a Chip, Vol.20, 1928-1938, 2020

    Outside Back Cover

    20, 1928-1938, 2020 (Back cover) Apr-2020
  76. Nandini Viteea, Harikrishnan Ramiah, Pui In Mak, Jun Yin, R. P. Martins, A 3.15 mW +16.0 dBm IIP3 22 dB CG Inductively Source Degenerated Balun-LNA-Mixer with Integrated Transformer-Based Gate Inductor and IM2 Injection Technique

    IEEE Transactions on VLSI systems

    vol. 28, pp. 700-713 Mar-2020
  77. Ren Shen, Yanwei Jia, Pui In Mak, R. P. Martins, Clip to release on amplification (CRoA): a novel enhancer for DNA amplification on and off microfluidics

    Lab on a Chip

    20, 1928-1938, 2020 (Back cover) Mar-2020
  78. Mingqiang Guo, Jiaji Mao, Sai Weng Sin, Hegong Wei, R. P. Martins, A 1.6GS/s 12.2mW 7/8-way Split Time-Interleaved SAR ADC achieving 54.2-dB SNDR with Digital Background Timing Mismatch Calibration

    IEEE Journal of Solid-State Circuits

    vol. 55, Issue 3, pp. 693-705 (invited special issue of CICC) Mar-2020
  79. Liang Qi, Ankesh Jain, Jiang DongYang, Sai Weng Sin, R. P. Martins, Maurits Ortmanns, A 76.6dB-SNDR 50MHz-BW 29.2mW Multibit CT Sturdy MASH with DAC Non-Linearity Tolerance

    IEEE Journal of Solid-State Circuits

    vol. 55, No. 2, pp. 344-355 Mar-2020
  80. Wen-Ming Zheng, Wen-Liang Zheng, Chi-Wa U, Chi-Seng Lam, Yan Lu, Sai Weng Sin, Man-Chung Wong, R. P. Martins, Analysis, Design and Control of an Integrated Three-Level Buck Converter under DCM Operation

    Journal of Circuits, Systems and Computers

    vol. 29, no. 1, pp. 1-20 Mar-2020
  81. Wenning Jiang, Yan Zhu, Chi Hang Chan, R. P. Martins, A Temperature-Stabilized Single-Channel 1-GS/s 60-dB SNDR SAR-Assisted Pipelined ADC With Dynamic Gm-R-Based Amplifier

    IEEE Journal of Solid-State Circuits

    vol. 55, no. 2, pp. 322-332 Feb-2020
  82. Yan Song, Chi Hang Chan, Yan Zhu, R. P. Martins, A 12.5-MHz Bandwidth 77-dB SNDR SAR-Assisted Noise Shaping Pipeline ADC

    IEEE Journal of Solid-State Circuits

    vol. 55, no. 2, pp. 312-321 Feb-2020
  83. Yan Lu, Mo Huang, R. P. Martins, A 2.4-GHz Mid-Field CMOS Wireless Power Receiver Achieving 46% Maximum PCE and 163-mW Output Power

    IEEE Transactions on Circuits and Systems II: Express Briefs

    vol. 67, no. 2, pp. 360–364 Feb-2020
  84. Ka-Meng Lei, Dongwan Ha, Yi-Qiao Song, Robert Westervelt, R. P. Martins, Pui In Mak, Donhee Ham, Portable NMR with Parallelism

    ACS Analytical Chemistry

    2020, 92, 2, 2112–2120 Jan-2020
  85. Haohong Yu, Yong Chen, Chirn Chye Boon, Pui In Mak, R. P. Martins, A 0.096-mm2 1-to-20-GHz Triple-Path Noise-Cancelling Common-Gate Common-Source LNA with Complementary pMOS-nMOS Configuration

    IEEE Transactions on Microwave Theory and Techniques

    vol. 68, pp. 144-159 Jan-2020
  86. Zunsong Yang, Yong Chen, Shiheng Yang, Pui In Mak, R. P. Martins, A 10.6-mW 26.4-GHz Dual-Loop Type-II Phase-Locked Loop Using Dynamic Frequency Detector and Phase Detector

    IEEE Access

    vol. 8, pp. 2222–2232 Jan-2020
  87. Ka-Fai Un, Feifei Zhang, Pui In Mak, R. P. Martins, Anding Zhu, Robert Bogdan Staszewski, Design Considerations of the Interpolative Digital Transmitter for Quantization Noise and Replicas Rejection

    IEEE Transactions on Circuits and Systems – II

    vol. 67, pp. 37–41 Jan-2020
  88. Chao Fan, Wei-Han Yu, Pui In Mak, R. P. Martins, A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS

    IEEE Transactions on Circuits and systems - I

    vol. 66, No.12, pp. 4850–4861, Dec-2019
  89. Yang Jiang, Man-Kay Law, Zhiyuan Chen, Pui-In Mak, and Rui P. Martins, Algebraic Series-Parallel-Based Switched-Capacitor DC-DC Boost Converter with Wide Input Voltage Range and Enhanced Power Density

    IEEE Journal of Solid-State Circuits (JSSC)

    Vol. 54, Issue 11 Nov-2019
  90. Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, *Yang Jiang, Man-Kay Law, Pui In Mak, R. P. Martins (*Corresponding Author), Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), Vol. 66, Issue 10

    Oct-2019
  91. Yong Chen, Pui In Mak, Zunsong Yang, Chirn Chye Boon, R. P. Martins, A 0.0071-mm² 10.8pspp-Jitter 4 to 10-Gb/s 5-Tap Current-Mode Transmitter Using a Hybrid Delay Line for Sub-1-UI Fractional De-Emphasis

    IEEE Transactions on Circuits and Systems I: Regular Paper

    Vol.66, No.10, pp.3991-4004 Oct-2019
  92. U-Fat Chio, Kuo-Chih Wen, Sai Weng Sin, Chi-Seng Lam, Yan Lu, Franco Maloberti, R. P. Martins, An Integrated DC-DC Converter with Segmented Frequency Modulation and Multiphase Co-Work Control for Fast Transient Recovery

    IEEE Journal of Solid-State Circuits

    Vol.54, No.10, pp. 2637-2648 Oct-2019
  93. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.0018-mm2 153%-Locking-Range CML-Based Divider-by-2 with Tunable Self-Resonant Frequency Using an Auxiliary Negative-gm Cell

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.66, No. 9, pp 3330-3339 Sep-2019
  94. Xuewei Lei, Yan Zhu, Chi Hang Chan, R. P. Martins, A 4-b 7µW Phase Domain ADC With Time Domain Reference Generation for Low-Power FSK/PSK Demodulation

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol. 66, No.9, pp. 3365-3372 Sep-2019
  95. Fangyu Mao, Yan Lu, R. P. Martins, A Reconfigurable Cross-Connected Wireless- Power Transceiver for Bidirectional Device-to-Device Wireless Charging

    IEEE Journal of Solid-State Circuits

    Vol.54, No.9, pp. 2579-2589 Sep-2019
  96. Zhai Jiao, S. H. Yi, Yanwei Jia, Pui In Mak, R. P. Martins, Cell-based drug screening on microfluidics

    Trends in Analytical Chemistry

    117, 231-241 Aug-2019
  97. Xinyi Ge, Yong Chen, Xiaoteng Zhao, Pui In Mak, R. P. Martins, Analysis and Verification of Jitter in Bang-Bang Clock and Data Recovery Circuit With a Second-Order Loop Filter

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.27, Issue 10, pp.2223-2236 Jun-2019
  98. Mingzhong Li, Cheng Dong, Man-Kay Law, Yanwei Jia, Pui In Mak, R. P. Martins, Hydrodynamic-flow-enhanced rapid mixer for isothermal DNA hybridization kinetics analysis on digital microfluidics platform

    Sensors and Actuators B

    287, 390-397 May-2019
  99. Shiheng Yang, Haidong Yi, Wei-Han Yu, Pui In Mak, R. P. Martins, A 0.2-V Energy-Harvesting BLE Transmitter With a Micropower Manager Achieving 25% System Efficiency at 0-dBm Output and 5.2-nW Sleep Power in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol: 54 , No.: 5 , pp. 1351 - 1362 May-2019
  100. Zechariah Balan, Harikrishnan Ramiah, Jagadheswaran Rajendran, Nandini Viteea, Pravinah Nair Shasidharan, Jun Yin, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low Energy/ZigBee Receiver Consuming 2mA

    Elsevier the VLSI Journal - Integration

    Vol.6, pp. 112-118 May-2019
  101. Iat-Fai Sun, Jun Yin, Pui In Mak, R. P. Martins, A Comparative Study of 8-Phase Feedforward-Coupling Ring VCOs

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II)

    Vol. 66, Issue:4, pp. 527-531, Apr 2019 Apr-2019
  102. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, A 550-μW 20-kHz BW 100.8-dB SNDR Linear-Exponential Multi-Bit Incremental Σ Δ ADC With 256 Clock Cycles in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol. 54, Issue: 4, pp. 1161-1172 Apr-2019
  103. Antoine Dupré, Ka-Meng Lei, Pui In Mak, R. P. Martins, Weng-Kung Peng, Micro-and nanofabrication NMR technologies for point-of-care medical applications–A review

    Microelectronic Engineering

    Volume 209, 15 March 2019, Pages 66-74 Mar-2019
  104. Xiaofeng Yang, Chi Hang Chan, Yan Zhu, R. P. Martins, A -246dB Jitter-FoM 2.4GHz Calibration-Free RingOscillator PLL Achieving 9% Jitter Variation Over PVT Feb-2019
  105. Wenning Jiang, Yan Zhu, Minglei Zhang, Chi Hang Chan, R. P. Martins, A 7.6mW 1GS/s 60dB SNDR Single-Channel SARAssisted Pipelined ADC with Temperature-Compensated Dynamic Gm-R-Based Amplifier Feb-2019
  106. Tongquan Jiang, Jun Yin, Pui In Mak, R. P. Martins, A 0.5-V 0.4-to-1.6-GHz 8-Phase Bootstrap Ring-VCO Using Inherent Non-Overlapping Clocks Achieving a 162.2-dBc/Hz FoM

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol.66, Issue: 2, pp 157-161 Feb-2019
  107. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, A 5.1-to-7.3 mW, 2.4-to-5 GHz Class-C Mode-Switching Single-Ended-Complementary VCO Achieving >190 dBc/Hz FoM

    IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II)

    Volume: 66 , Issue: 2, pp. 237 - 241 Feb-2019
  108. Liang Wan, Jie Gao, Tianlan Chen, Cheng Dong, Haoran Li, Yan-Zi Wen, Zhao-Rong Lun, Yanwei Jia, Pui In Mak, R. P. Martins, LampPort: a handheld digital microfluidic device for loop-mediated isothermal amplification (LAMP)

    Biomedical Microdevices

    Jan-2019
  109. Haohong Yu, Yong Chen, Chirn Chye Boon, Chenyang Li, Pui In Mak, R. P. Martins, A 0.044-mm2 0.5-to-7-GHz resistor-plus-source-follower-feedback noise-cancelling LNA achieving a flat NF of 3.3±0.45 dB

    IEEE Transactions on Circuits and Systems - II

    Vol. 66. No.1, pp 71 - 75 Jan-2019
  110. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, A 0.0056-mm2 −249-dB-FoM All-Digital MDLL Using a Block-Sharing Offset-Free Frequency-Tracking Loop and Dual Multiplexed-Ring VCOs

    IEEE Journal of Solid-State Circuits

    Vol.54, No.1, pp 88 - 98 Jan-2019
  111. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Many-Objective Sizing Optimization of a Class-C/D VCO for Ultralow-Power IoT and Ultralow-Phase-Noise Cellular Applications

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.27, No.1, pp 69 - 82 Jan-2019
  112. Cheng Li, Chi Hang Chan, Yan Zhu, R. P. Martins, Analysis of Reference Error in High-Speed SAR ADCs With Capacitive DAC

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.66, No.1, pp 82 - 93 Jan-2019
  113. Yang Jiang, Man-Kay Law, Pui In Mak, R. P. Martins, Algorithmic Voltage-Feed-In Topology for Fully Integrated Fine-Grained Rational Buck–Boost Switched-Capacitor DC–DC Converters

    IEEE Journal of Solid-State Circuits

    Vol.53, No.12, pp 3455 - 3469 [Invited Paper] Dec-2018
  114. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, An Inverse-Class-F CMOS Oscillator With Intrinsic-High-Q First Harmonic and Second Harmonic Resonances

    IEEE Journal of Solid-State Circuits

    Vol.53, No.12, pp 3528 - 3539 Dec-2018
  115. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, Algorithmic Voltage-Feed-In Topology for Fully Integrated Fine-Grained Rational Buck-Boost Switched-Capacitor DC-DC Converters

    IEEE Journal of Solid-State Circuits (JSSC), Vol. 53, Issue 12

    Dec-2018
  116. Dapeng Sun, Tan-Tan Zhang, Man-Kay Law, Pui In Mak, R. P. Martins, Process compensated bipolar junction transistor-based CMOS temperature sensor with a ±1.5°C (3σ) batch-to-batch inaccuracy

    Electronics Letters

    Vol.54, No.22. pp 1270-1272 Nov-2018
  117. Yuanqing Huang, Yan Lu, Franco Maloberti, R. P. Martins, Nano-Ampere Low-Dropout Regulator Designs for IoT Devices

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.56, No.11, pp 4017 - 4026 Nov-2018
  118. Yan Zhu, Chi Hang Chan, Zi Hao Zheng, Cheng Li, Jianyu Zhong, R. P. Martins, A 0.19 mm² 10 b 2.3 GS/s 12-Way Time-Interleaved Pipelined-SAR ADC in 65-nm CMOS

    IEEE Transactions on Circuits and Systems I: Regular Papers,

    pp 3606-3016 Nov-2018
  119. Wang GuanCheng, Cheng Li, Yan Zhu, Jianyu Zhong, Yan Lu, Chi Hang Chan, R. P. Martins, Missing-Code-Occurrence Probability Calibration Technique for DAC Nonlinearity With Supply and Reference Circuit Analysis in a SAR ADC

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.65, No.11, pp 3707 - 3719 Nov-2018
  120. Yatao Peng, Jun Yin, Pui In Mak, R. P. Martins, Low-Phase-Noise Wideband Mode-Switching Quad-Core-Coupled mm-wave VCO Using a Single-Center-Tapped Switched Inductor

    IEEE Journal of Solid-State Circuits

    Vol.53, No.11, pp 3232 - 3242 Nov-2018
  121. Wang GuanCheng, Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, Gain Error Calibrations for Two-Step ADCs: Optimizations Either in Accuracy or Chip Area

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol. 26, No. 11, pp 2279 - 2289 Nov-2018
  122. Lingshan Kong, Yong Chen, Chirn Chye Boon, Pui In Mak, R. P. Martins, A wideband inductorless dB-linear automatic-gain control amplifier using a single-branch negative exponential generator for wireline applications

    IEEE Transactions on Circuits and Systems - I

    vol. 65, no. 10, pp. 3196-3206 Oct-2018
  123. Wei Wang, Yan Zhu, Chi Hang Chan, R. P. Martins, A 5.35-mW 10-MHz Single-Opamp Third-Order CTΔΣModulator With CTC Amplifier and Adaptive Latch DAC Driver in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol.53, no.10, pp 2783 - 2794 Oct-2018
  124. Da Feng, Edoardo Bonizzoni, J.E.Franca, Sai Weng Sin, R. P. Martins, A 10-MHz Bandwidth Two-Path Third-OrderΣΔModulator With Cross-Coupling Branches

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol.65, No. 10, pp 1410 - 1414 Oct-2018
  125. Jiali Ma, Mingqiang Guo, Sai Weng Sin, R. P. Martins, A 14-Bit Split-Pipeline ADC With Self-Adjusted Opamp-Sharing Duty-Cycle and Bias Current

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol.65, No.10, pp 1380 - 1384 Oct-2018
  126. Yu-Jun Mao, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, R. P. Martins, Review and Selection Strategy for High-Accuracy Modeling of PWM Converters in DCM

    Hindawi Journal of Electrical and Computer Engineering

    Volume 2018, Article ID 3901693, 16 pages Oct-2018
  127. Ziyang Luo, Yan Lu, R. P. Martins, 0.45-V 5.4-nW switched-capacitor bandgap reference with intermittent operation and improved supply immunity

    Electronics Letters

    Vol. 54, No.20, pp 1154 - 1156 Oct-2018
  128. Yong Chen, Pui In Mak, Chirn Chye Boon, R. P. Martins, A 36-Gb/s 1.3-mW/Gb/s duobinary-signal transmitter exploiting power-efficient cross-quadrature clocking multiplexers with maximized timing margin

    IEEE Transactions on Circuits and Systems - I

    vol. 65, no. 9, pp. 3014-3026 Sep-2018
  129. Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Wong Wei Ru, Pui In Mak, R. P. Martins, Ambient RF energy harvesting system: a review on integrated circuit design

    Springer Analog Integrated Circuits and Signal Processing

    Volume 97, Issue 3, pp 515–531 Sep-2018
  130. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Regulation-Free Sub-0.5-V 16-/24-MHz Crystal Oscillator With 14.2-nJ Startup Energy and 31.8- μ W Steady-State Power

    IEEE Journal of Solid-State Circuits

    Vol.53, No.9, pp 2624 - 2635 Sep-2018
  131. Xingqiang Peng, Jun Yin, Wei-Han Yu, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low-Energy Transmitter With 34.7% Peak System Efficiency

    IEEE Transactions on Circuits and Systems II: Express Briefs

    Vol. 65, No. 9, pp 1174 - 1178 Sep-2018
  132. Chak-Fong Cheang, Pui In Mak, R. P. Martins, A Hardware-Efficient Feedback Polynomial Topology for DPD Linearization of Power Amplifiers: Theory and FPGA Validation

    IEEE Transactions on Circuits and Systems I: Regular Papers

    Vol.65, No.9, pp 2889 - 2902 Sep-2018
  133. Wen-Liang Zheng, Chi-Seng Lam, Sai Weng Sin, Franco Maloberti, Man-Chung Wong, R. P. Martins, A 220-MHz bondwire-based fully-integrated KY converter with fast transient response under DCM operation

    IEEE Transactions on Circuits and Systems I - Regular Papers (TCAS-I)

    Accepted Aug-2018
  134. Ya-jie Wu, Chi-Seng Lam, Man-Chung Wong, Sai Weng Sin, R. P. Martins, A reconfigurable and extendable digital architecture for mixed signal power electronics controller

    IEEE Transactions on Circuits and Systems II - Express Briefs (TCAS-II)

    Accepted Jul-2018
  135. Yang Xiaofeng, Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, Analysis of Common-Mode Interference and Jitter of Clock Receiver Circuits With Improved Topology

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol.65, No.6, pp.1819-1829 Jun-2018
  136. Haidong Yi, Wei-Han Yu, Pui In Mak, Jun Yin, R. P. Martins, A 0.18-V 382-uW Bluetooth Low-Energy(BLE) Receiver Front-End With 1.33-nW Sleep Power for Energy-Harvesting Applications in 28-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol.53, No.6, pp.1618-1627 Jun-2018
  137. Lei Zhao, Yan Lu, R. P. Martins, A Digital LDO With Co-SA Logics and TSPC Dynamic Latches for Fast Transient Response

    IEEE Solid-State Circuits Letters

    Vol.1, No.6, pp 154 - 157 Jun-2018
  138. Gengzhen Qi, Barend van Liempd, Pui In Mak, R. P. Martins, Jan Craninckx, A SAW-Less Tunable RF Front End for FDD and IBFD Combining an Electrical-Balance Duplexer and a Switched-LC N-Path LNA

    IEEE Journal of Solid-State Circuits

    vol.53, No.5, pp.1431-1442 May-2018
  139. Tan-Tan Zhang, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, Nano-Watt Class Energy-Efficient Capacitive Sensor Interface With On-Chip Temperature Drift Compensation

    IEEE Sensors Journal

    vol. 18, No.7, pp.2870-2882 Apr-2018
  140. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.083-mm2 25.2-to-29.5 GHz Multi-LC-Tank Class-F234 VCO with a 189.6-dBc/Hz FOM

    IEEE Solid-State Circuits Letters

    vol. 1, no. 4, pp. 86-89 Apr-2018
  141. Chi Hang Chan, Yan Zhu, Zhang WaiHong, Seng-Pan U, R. P. Martins, A Two-Way Interleaved 7-b 2.4-GS/s 1-Then-2 b/Cycle SAR ADC with Background Offset Calibration

    IEEE Journal of Solid-State Circuits

    vol.53, No.3, pp.850-860 Mar-2018
  142. Yan Song, Chi Hang Chan, Yan Zhu, Li Geng, Seng-Pan U, R. P. Martins, Passive Noise Shaping in SAR ADC With Improved Efficiency

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.26, Issue2, pp.416-420 Feb-2018
  143. Weiwei Qin, Sai Weng Sin, Seng-Pan U, R. P. Martins, Quick and Cost-Efficient A/D Converter Static Characterization using Low-Precision Testing Signal

    Microelectronics Journal - Elsevier

    vol. 74, pp.86-93 Feb-2018
  144. Haidong Yi, Jun Yin, Pui In Mak, R. P. Martins, A 0.032-mm2 0.15-V 3-Stage Charge-Pump Scheme Using a Differential Bootstrapped Ring-VCO for Energy-Harvesting Applications

    IEEE Transactions on CAS – Part II: Express Briefs

    vol. 65, No.2, pp.146-150 Feb-2018
  145. Mo Huang, Yan Lu, Seng-Pan U, R. P. Martins, An Analog-Assisted Tri-Loop Digital Low-Dropout Regulator

    IEEE Journal of Solid-State Circuits

    Jan-2018
  146. Wei-Han Yu, Ka-Fai Un, Pui In Mak, R. P. Martins, A 0.7-to-2.5 GHz, 61% EIRP System Efficiency, Four-Element MIMO TX System Exploiting Integrated Power-Relaxed Power Amplifiers and an Analog Spatial De-Interleave

    IEEE Transactions on CAS – Part I: Regular Papers

    vol.65, No.1, pp.14-25 Jan-2018
  147. Yong Chen, Pui In Mak, Haohong Yu, Chirn Chye Boon, R. P. Martins, An Area-Efficient and Tunable Bandwidth-Extension Technique for a Wideband CMOS Amplifier Handling 50+ Gb/s Signaling

    IEEE Transactions on Microwave Theory and Techniques

    vol. 65, Issue 12, pp. 4960-4975 Dec-2017
  148. Zhiyuan Chen, Man-Kay Law, Pui In Mak, Wing-Hung Ki, R. P. Martins, Fully-Integrated Inductor-less Flipping-Capacitor Rectifier (FCR) for Piezoelectric Energy Harvesting

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 12, pp. 3168-3180 Dec-2017
  149. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, A High-Voltage-Enabled Class-D Polar PA Using Interactive AM-AM Modulation, Dynamic Matching, and Power-Gating for Average PAE Enhancement

    IEEE Transactions on Circuits and Systems – I

    vol. 64, Issue 12, pp. 2844-2857 Nov-2017
  150. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Ada Hang-Heng Wong, Yanwei Jia, Pui In Mak, C. X. Deng, R. P. Martins, A digital microfluidic system for loop-mediated isothermal amplification and sequence specific pathogen detection

    Scientific Reports

    714,586 Nov-2017
  151. Chi Hang Chan, Yan Zhu, Cheng Li, Zhang WaiHong, Ho Iok Meng, Lai Wei, Seng-Pan U, R. P. Martins, 60-dB SNDR 100-MS/s SAR ADCs With Threshold Reconfigurable Reference Error Calibration

    IEEE Journal of Solid-State Circuits

    vol. 52, no. 10, pp. 2576-2588 Oct-2017
  152. Liang Qi, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, A 4.2-mW 77.1-dB SNDR 5-MHz BW DT 2-1 MASH ΔΣ Modulator With Multirate Opamp Sharing

    IEEE Transactions on Circuits and Systems I - Regular Papers

    Vol. 64 , Issue: 10, pp 2641 - 2654 Oct-2017
  153. Yong Chen, Pui In Mak, Chirn Chye Boon, R. P. Martins, A 27-Gb/s Time-Interleaved Duobinary Transmitter Achieving 1.44-mW/Gb/s FOM in 65-nm CMOS

    IEEE Microwave and Wireless Components Letters

    Vol. 27, Issue: 9, pp. 839-841 Sep-2017
  154. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 7.8mW 5b 5GS/s Dual-Edges-Triggered Time-Based Flash ADC

    in IEEE Transactions on Circuits and Systems I: Regular paper

    Vol.64, Issue 8, pp.1966-1976 Aug-2017
  155. A. H. H. Wong, Haoran Li, Yanwei Jia, Pui In Mak, R. P. Martins, Y. Liu, C. M. Vong, H. C. Won, P. K. Wong, H. T. Wang, H. Sun, C. X. Deng, Drug screening of cancer cell lines and human primary tumors using droplet microfluidics

    Scientific Reports

    7, 9109 Aug-2017
  156. Gengzhen Qi, Pui In Mak, R. P. Martins, A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 8, pp. 2055–2070 Aug-2017
  157. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 12b 180MS/s 0.068mm2 with Full-Calibration-Integrated Pipelined-SAR ADC

    IEEE Transactions on Circuits and Systems I: Regular paper

    Vol.64, No 7, pp.1684-1695 Jul-2017
  158. Xingqiang Peng, Jun Yin, Pui In Mak, Wei-Han Yu, R. P. Martins, A 2.4-GHz ZigBee Transmitter Using a Function-Reuse Class-F DCO-PA and an ADPLL Achieving 22.6% (14.5%) System Efficiency at 6-dBm (0-dBm) Pout

    IEEE Journal of Solid-State Circuits

    vol. 52, Issue 6, pp. 1495- 1508, Jun-2017
  159. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, LC-VCOs Using Spiral Inductors with Single- and Dual-Layer Patterned Floating Shields – A Comparative Study

    Springer Analog Integrated Circuits and Signal Processing

    vol. 91, Issue 3, pp. 497-502 Jun-2017
  160. Ziyang Luo, Yan Lu, Mo Huang, Junmin Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Sub-1V 78-nA Bandgap Reference with Curvature Compensation

    Elsevier Microelectronics Journal

    vol. 63, pp. 35–40 May-2017
  161. Chio-In Ieong, Ming Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, A 0.45-V 147-to-375 nW Real-Time ECG Processor with Lossless-to-Lossy Data Compression for Wireless Healthcare Wearables

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    vol. 25, Issue 4, 1307-1319 Apr-2017
  162. Gim Heng Tan, Harikrishnan Ramiah, Pui In Mak, R. P. Martins, A 0.35-V 520-µW 2.4-GHz Current-Bleeding Mixer with Inductive-Gate and Forward-Body Bias, Achieving >13-dB Conversion Gain and >55-dB Port-to-Port Isolation

    IEEE Transactions on Microwave Theory and Techniques

    vol. 65, Issue4, pp. 1284-1293 Apr-2017
  163. Amin Khalili Moghaddam, Joon Huang Chuah, Harikrishnan Ramiah, Jalil Ahmadian, Pui In Mak, R. P. Martins, A 73.9%-Efficiency CMOS Rectifier Using a Lower DC Feeding (LDCF) Self-Body-Biasing Technique for Far-Field RF Energy-Harvesting systems

    IEEE Transactions on Circuits and Systems – I

    vol. 64, Issue 4, pp. 992-1002 Apr-2017
  164. Dezhi Xing, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Fan Ye, Junyan Ren, Seng-Pan U, R. P. Martins, Seven-bit 700-MS/s Four-Way Time-Interleaved SAR ADC With Partial Vcm-Based Switching

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.25, Issue 3, pp.1168-1172 Mar-2017
  165. Jiang DongYang, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Reconfigurable mismatch-free time-interleaved bandpass sigma–delta modulator for wireless communications

    Electronics Letters

    Vol. 53 , Issue: 7, pp 506 - 508 Mar-2017
  166. Yan Lu, Haojuan Dai, Mo Huang, Man-Kay Law, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Wide Input Range Dual-Path CMOS Rectifier for RF Energy Harvesting

    IEEE Transactions on Circuits and Systems II

    Volume: 64, Issue: 2, pp.166 - 170 Feb-2017
  167. Yan Lu, Mo Huang, Lin Cheng, Wing-Hung Ki, Seng-Pan U, R. P. Martins, A Dual-Output Wireless Power Transfer System with Active Rectifier and Three-Level Operation

    IEEE Transactions on Power Electronics

    Volume: 32, Issue: 2, pp.927 - 930 Feb-2017
  168. Z.Chen, Man-Kay Law, Pui In Mak, R. P. Martins, A Single-Chip Solar Energy Harvesting IC using Integrated Photodiodes with a 67% Charge Pump Maximum Efficiency

    IEEE Trans. on Biomedical Circuits and Systems.[Top 50 most accessed paper: Aug. 2016 - Oct. 2017]

    Volume: 11, Issue: 1, pp.44 - 53 Feb-2017
  169. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Boris Murmann, Seng-Pan U, R. P. Martins, Metastablility in SAR ADCs

    press in IEEE Transactions on CAS – Part II: Express Briefs

    Volume: 64, Issue: 2, pp.111 - 115 Feb-2017
  170. Cheng Dong, Yanwei Jia, Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, A 3D microblade structure for precise and parallel droplet splitting on digital microfluidic chips

    Lab on a Chip

    17, 896-904 Feb-2017
  171. Yi-Wei Tan, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, Seng-Pan U, R. P. Martins, DCM operation analysis of 3-level boost converters

    ”, IET Electronics Letters

    vol. 53, no. 4, pp. 270 – 272 Feb-2017
  172. Arshad Hussain, Sai Weng Sin, Chi Hang Chan, Seng-Pan U, Franco Maloberti, R. P. Martins, Active-Passive ΔΣ Modulator for High-Resolution and Low-Power Applications

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    vol. 25, Issue. 1, pp. 364 – 374 Jan-2017
  173. Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, A 10-bit 500-MS/s Partial-Interleaving Pipelined SAR ADC With Offset and Reference Mismatch Calibrations

    in IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol: 25, Issue1, pp. 354-363 Jan-2017
  174. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld High-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE Journal of Solid-State Circuits

    vol. 52,Issue 1, pp. 284-297 Jan-2017
  175. Jun Yin, Pui In Mak, Franco Maloberti, R. P. Martins, A Time-Interleaved Ring-VCO with Reduced 1/f3 Phase Noise Corner, Extended Tuning Range and Inherent Divided Output

    IEEE Journal of Solid-State Circuits

    Volume: 51, Issue: 12, pp. 2979-2991 Dec-2016
  176. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Integration with a Digital Microfluidic Device inside a Portable Magnet

    IEEE Journal of Solid-State Circuits

    vol. 51, Issue. 10, pp. 2274-2286 [Invited Paper] Oct-2016
  177. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μ NMR CMOS Transceiver Using a Butterfly-Coil Input for Integration With a Digital Microfluidic Device Inside a Portable Magnet

    IEEE Journal of Solid-State Circuits

    Vol 51, Issue: 10, pp 2274 - 2286 Oct-2016
  178. Mo Huang, Yan Lu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Wing-Hung Ki, Limit Cycle Oscillation Reduction for Digital Low Dropout Regulators

    IEEE Transactions on Circuits and Systems II

    Volume:63 , Issue: 9 , pp.903-907 Sep-2016
  179. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, CMOS Biosensors for In Vitro Diagnosis – Transducing Mechanisms and Applications

    RSC Lab on a Chip

    2016, 16, pp. 3664-3681 Sep-2016
  180. Yan Lu, Cheng Li, Yan Zhu, Mo Huang, Seng-Pan U, R. P. Martins, A 312 ps Response-Time LDO with Enhanced Super Source Follower in 28 nm CMOS

    Electronics Letters

    Volume: 52, Issue: 16, pp.1368 - 1370 Aug-2016
  181. Jianwei Lui, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 4x Time-Domain Interpolation 6-bit 3.4 GS/s 12.6 mW Flash ADC in 65 nm CMOS

    in Journal of Semiconductor Technology and Science

    vol. 16, issue 4, pp. 395-404 Aug-2016
  182. Mo Huang, Yan Lu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Fully-Integrated Digital LDO with Coarse-Fine-Tuning and Burst-Mode Operation

    IEEE Transactions on Circuits and Systems II

    Volume:63 , Issue: 7 , pp. 663-687 Jul-2016
  183. Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, An 11b 450 MS/s 3-way Time-Interleaved Sub-ranging Pipelined-SAR ADC in 65nm CMOS

    IEEE Journal of Solid-State Circuits

    Volume: 51, Issue: 5, pp. 1223 - 1234 May-2016
  184. Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, Joint-Digital-Predistortion for Wireless Transmitter's I/Q Imbalance and PA Nonlinearities Using an Asymmetrical Complexity-Reduced Volterra Series Model

    Springer Analog Integrated Circuits and Signal Processing

    vol. 87, pp. 35-47 Apr-2016
  185. Jiangchao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, A 2 µW 45 nV/√Hz Readout Frontend With Multiple Chopping, Active-High-Pass Ripple Reduction Loop and Pseudo-Feedback DC Servo Loop

    IEEE Transactions on Circuits and Systems – II

    vol. 63, Issue: 4, pp. 351-355 Apr-2016
  186. Man-Kay Law, Sanfeng Lu, Tao Wu, A. Bermak, Pui In Mak, R. P. Martins, A 1.1 µW CMOS Smart Temperature Sensor with an Inaccuracy of ±0.2ºC (3σ) for Clinical Temperature Monitoring

    IEEE Sensors Journal

    vol. 16, Issue: 8, pp. 2272-2281 Apr-2016
  187. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 6 b 5 GS/s 4 Interleaved 3 b/Cycle SAR ADC

    IEEE Journal of Solid-State Circuits

    vol. 51, Issue 2, pp. 365-377 Feb-2016
  188. Jianwei Lui, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Uniform Quantization Theory-Based Linearity Calibration for Split Capacitive DAC in an SAR ADC

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Issue 24, Issue 7, pp. 2603-2607 Jan-2016
  189. U. R. J. Eswaran, Harikrishnan Ramiah, Pui In Mak, R. P. Martins, A 2-μm InGaP/GaAs Class-J Power Amplifier for Multi-band LTE Achieving 35.8-dB Gain, 40.5%-to-55.8% PAE and 28-dBm Linear Output Power

    IEEE Transactions on Microwave Theory and Techniques

    vol. 64, pp. 200-209 Jan-2016
  190. Suyan Fan, Man-Kay Law, Mingzhong Li, Zhiyuan Chen, Chio-In Ieong, Pui In Mak, R. P. Martins, Wide Input Range Supply Voltage Tolerant Capacitive Sensor Readout Using On-Chip Solar Cell

    World Scientific Journal of Circuits, Systems, and Computers

    Vol. 25, No. 1, pp. 1640006-1 to 12 Jan-2016
  191. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Pui In Mak, R. P. Martins, Sub-7-second genotyping of single-nucleotide polymorphism by high-resolution melting curve analysis on a thermal digital microfluidic device

    Lab on a Chip

    16, 743-752 Jan-2016
  192. Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, The Dispersal Analysis on Basis Construction of Digital Predistortion Techniques for Power Amplifiers

    Springer Analog Integrated Circuits and Signal Processing

    vol. 86, pp. 77-88 Jan-2016
  193. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Energy Optimized Sub-threshold VLSI Logic Family with Unbalanced Pull-up/down Network and Inverse-Narrow-Width Techniques

    IEEE Transactions on VLSI Systems

    vol. 23, pp. 3119-3123 Dec-2015
  194. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area

    IEEE Transactions on VLSI Systems

    vol. 23, pp. 3104-3108 Dec-2015
  195. Wen-Liang Zheng, Chi-Seng Lam, Wen-Ming Zheng, Sai Weng Sin, Ning-Yi Dai, Man-Chung Wong, Seng-Pan U, R. P. Martins, DCM operation analysis of KY converter

    IET Electronics Letters

    vol. 51, no. 24, pp. 2037 – 2039 Nov-2015
  196. Man-Chung Wong, Yan-Zheng Yang, Chi-Seng Lam, Wai-Hei Choi, Ning-Yi Dai, Ya-jie Wu, Chi-Kong Wong, Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, Self-reconfiguration property of a mixed signal controller for improving power quality compensator during light loading

    IEEE Transactions on Power Electronics

    vol. 30, no. 10, pp. 5938 – 5951 Oct-2015
  197. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Franco Maloberti, Nested-Current-Mirror Rail-to-Rail-Output Single-Stage Amplifier With Enhancements of DC Gain, GBW and Slew Rate

    IEEE Journal of Solid-State Circuits

    vol. 50, pp. 2353-2366 Oct-2015
  198. Ka-Fai Un, Wei-Han Yu, Chak Fong Cheang, Gengzhen Qi, Pui In Mak, R. P. Martins, A Sub-GHz Wireless Transmitter Utilizing a Multi-Class-Linearized PA and Time-Domain Wideband-Auto I/Q-LOFT Calibration for IEEE 802.11af WLAN

    IEEE Transactions on Microwave Theory and Techniques

    vol. 63, pp. 3228-3241 Oct-2015
  199. Yaohua Zhao, Pui In Mak, R. P. Martins, Franco Maloberti, A 0.02 mm 59.2 dB SFDR 4th-Order SC LPF With 0.5-to-10 MHz Bandwidth Scalability Exploiting a Recycling SC-Buffer Biquad

    IEEE Journal of Solid-State Circuits

    vol. 50, pp. 1988-2001 Sep-2015
  200. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Thermal and Reference Noise Analysis of Time-Interleaving SAR and Partial-Interleaving Pipelined-SAR ADCs

    IEEE Transactions on Circuits and Systems I: Regular Papers

    vol.62, no.9, pp.2196-2206 Sep-2015
  201. Liang Qi, Sai Weng Sin, Seng-Pan U, R. P. Martins, Resolution-enhanced sturdy MASH delta–sigma modulator for wideband low-voltage applications

    IET, ELECTRONICS LETTERS, Vol. 51, No. 14, pp. 1061–1063

    Jul-2015
  202. Md. Tawfiq Amin, Jun Yin, Pui In Mak, R. P. Martins, A 0.07-mm2 2.2-mW 10-GHz Current-Reuse Class-B/C Hybrid VCO Achieving 196-dBc/Hz FoMA

    IEEE Microwave and Wireless Components Letters

    vol. 25, pp. 457-459 Jul-2015
  203. Yan Zhu, Chi Hang Chan, Wong, S.-S., Seng-Pan U, R. P. Martins, Histogram-Based Ratio Mismatch Calibration for Bridge-DAC in 12-bit 120 MS/s SAR ADC

    Very Large Scale Integration (VLSI) Systems, IEEE Transactions on

    Volume:24 , Issue: 3, pp. 1203 - 1207 Jun-2015
  204. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Palm-Size µNMR Relaxometer Using a Digital Microfluidic Device and a Semiconductor Transceiver for Chemical/Biological Diagnosis

    Royal Society of Chemistry - Analyst, 2015

    2015,140, 5129-5137 Jun-2015
  205. Jie Gao, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Adhesion Promoter for Multi-dielectric-layer on Digital Microfluidic Chip

    RSC Advances, 2015

    5, 48626-48630 May-2015
  206. Cheng Dong, Tianlan Chen, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, On the Droplet Velocity and Electrode Lifetime of Digital Microfluidics: Voltage Actuation Techniques and Comparison

    Springer Microfluidics and Nanofluidics

    Vol. 18, pp 673-683 Apr-2015
  207. Chak Fong Cheang, Ka-Fai Un, Wei-Han Yu, Pui In Mak, R. P. Martins, A Combinatorial Impairment-Compensation Digital Predistorter for a Sub-GHz IEEE 802.11af-WLAN CMOS Transmitter Covering a 10x-Wide RF Bandwidth

    IEEE Transactions on Circuits and Systems – I

    vol. 62, pp. 1025-1032 Apr-2015
  208. Zushu Yan, Wei Wang, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.0045-mm2 32.4-µW Two-Stage Amplifier for pF-to-nF Load Using CM Frequency Compensation

    IEEE Transactions on Circuits and Systems – II

    vol. 62, pp. 246-250 Mar-2015
  209. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, 0.0045mm2 15.8μW Three-Stage Amplifier Driving 10x-Wide (0.15 to 1.5nF) Capacitive Loads with >50° Phase Margin

    IET Electronics Letters

    vol. 51, pp. 454-456 Mar-2015
  210. Fujian Lin, Pui In Mak, R. P. Martins, Wideband Receivers: Design Challenges, Tradeoffs and State-of-the-Art

    IEEE Circuits and Systems Magazine

    vol. 15, Issue 1, pp. 12-24 Mar-2015
  211. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 3.6mW 6GHz Current-Reuse VCO-Buffer with Improved Load Drivability in 65nm CMOS

    Wiley International Journal of Circuit Theory and Applications

    vol. 43, pp. 133–138 Jan-2015
  212. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, NMR-DMF: A Modular Nuclear Magnetic Resonance-Digital Microfluidics System for Biological Assays

    Analyst, 2014,

    139, 6204-6213. Dec-2014
  213. Zhicheng Lin, Pui In Mak, R. P. Martins, A Sub-GHz Multi-ISM-Band ZigBee Receiver Using Function-Reuse and Gain-Boosted N-Path Techniques for IoT Applications

    IEEE Journal of Solid-State Circuits

    vol. 49, Issue 12, pp. 2990 - 3004 Dec-2014
  214. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 0.137 mm 9 GHz Hybrid Class-B/C QVCO with Output Buffering in 65 nm CMOS

    IEEE Microwave and Wireless Components Letters

    vol. 24, no. 10 Oct-2014
  215. Zhicheng Lin, Pui In Mak, R. P. Martins, Analysis and Modeling of a Gain-Boosted N-Path Switched-Capacitor Bandpass Filter

    IEEE Transactions on Circuits and Systems – I

    vol. 9, pp. 2560-2568 Sep-2014
  216. Hugo Horta, R. P. Martins, The start-up, evolution and impact of a research group in a university developing its knowledge base
     

    Tertiary Education And Management, from Taylor and Francis

    Sep-2014
  217. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.14-mm2, 1.4-mW, 59.4 dB-SFDR, 2.4-GHz ZigBee/WPAN Receiver Exploiting a Split-LNTA + 50% LO Topology in 65-nm CMOS

    IEEE Transactions on Microwave Theory and Techniques

    vol. 62, pp. 1525-1534 Jul-2014
  218. Pui In Mak, Miao Liu, Yaohua Zhao, R. P. Martins, Enhancing the Performances of Recycling Folded Cascode OpAmp in Nanoscale CMOS through Voltage Supply Doubling and Design for Reliability

    Wiley International Journal of Circuit Theory and Applications

    Article first published online:22 NOV 2012; vol. 42, pp. 605-619 Jun-2014
  219. Zhicheng Lin, Pui In Mak, R. P. Martins, A 2.4-GHz ZigBee Receiver Exploiting an RF-to-BB-Current-Reuse Blixer + Hybrid Filter Topology in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 49, pp. 1333-1344 Jun-2014
  220. Tianlan Chen, Cheng Dong, Jie Gao, Yanwei Jia, Pui In Mak, Mang I Vai, R. P. Martins, Natural Discharge after Pulse and Cooperative Electrodes to Enhance Droplet Velocity in Digital Microfluidics

    AIP Advances

    4, 047129 (2014) Apr-2014
  221. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Split-SAR ADCs: Improved Linearity with Power and Speed Optimization

    ", IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Vol.22, Issue: 2 , pp 372 - 383 Feb-2014
  222. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Split-SAR ADCs: Improved Linearity With Power and Speed Optimization

    Very Large Scale Integration (VLSI) Systems, IEEE Transactions on

    vol.22, no.2, pp.372,383 Feb-2014
  223. Fujian Lin, Pui In Mak, R. P. Martins, A Sine-LO Square-Law Harmonic-Rejection Mixer – Theory, Implementation and Application
     

    IEEE Transactions on Microwave Theory and Techniques

    vol. 62, pp. 313-322 Feb-2014
  224. Ka-Meng Lei, Pui In Mak, R. P. Martins, Systematic Analysis and Cancellation of Kickback Noise in a Dynamic Latched Comparator

    Analog Integrated Circuits and Signal Processing, Springer

    Vol. 77, Issue 2, pp 277-284 Nov-2013
  225. Tan-Tan Zhang, Pui In Mak, Mang I Vai, Peng Un Mak, Man-Kay Law, Sio Hang Pun, Feng Wan, R. P. Martins, 15-nW Biopotential LPFs in 0.35-µm CMOS Using Subthreshold-Source-Follower Biquads with and without Gain Compensation

    IEEE Transactions on Biomedical Circuits and Systems

    Vol. 7, Issue 5, pp 690-702 Oct-2013
  226. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 5-Bit 1.25-GS/s 4x-Capacitive-Folding Flash ADC in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol. 48, Issue 9, pp 2154-2169 Sep-2013
  227. Yanwei Jia, Pui In Mak, Conner Massey, R. P. Martins, Lawrence J. Wangh, Construction of a microfluidic chip, using dried-down reagents, for LATE-PCR amplification and detection of single-stranded DNA

    Lab on a Chip

    Issue 13, pp 4635-4641 Sep-2013
  228. Si-Seng Wong, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 2.3 mW 10-bit 170 MS/s Two-Step Binary-Search Assisted Time-Interleaved SAR ADC

    IEEE Journal of Solid-State Circuits

    Vol.48, Issue 8, pp 1783-1794 Aug-2013
  229. Ka-Fai Un, Pui In Mak, R. P. Martins, A 53-to-75 mW, 59.3-dB HRR, TV-Band White-Space Transmitter Using a Low-Frequency Reference LO in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol.48, Issue 9, pp 2078-2089 Aug-2013
  230. Wei-Han Yu, Chak-Fong Cheang, Pui In Mak, Weng-Fai Cheng, Ka-Fai Un, U-Wai Lok, R. P. Martins, A Nonrecursive Digital Calibration Technique for Joint Elimination of Transmitter and Receiver I/Q Imbalances With Minimized Add-On Hardware

    IEEE Transactions on Circuits and Systems – II

    Vol. 60, No. 8, pp. 462-466 Aug-2013
  231. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, Excess-loop-delay compensation technique for CT ΔΣ modulator with hybrid active-passive loop-filters

    Analog Integrated Circuits and Signal Processing, Springer

    Vol.76, Issue1, pp 35-46 Jul-2013
  232. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 3.6mW 6GHz Current-Reuse VCO-Buffer with Improved Load Drivability in 65nm CMOS

    Wiley International Journal of Circuit Theory and Applications

    Jun-2013
  233. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, Excess-Loop-Delay Compensation Technique for CT Delta Sigma Modulator with Hybrid Active-Passive Loop-Filters

    Analog Integrated Circuits and Signal Processing, Vol. 76, Issue 1

    May-2013
  234. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.016-mm2 144-µW Three-Stage Amplifier Capable of Driving 1-to-15 nF Capacitive Load with >0.95-MHz GBW

    IEEE Journal of Solid-State Circuits

    Vol.48, Issue 2 , pp 527-540 Feb-2013
  235. Jie Gao, Xianming Liu, Tianlan Chen, Pui In Mak, Yuguang Du, Mang I Vai, Bingcheng Lin, R. P. Martins, An Intelligent Digital Microfluidic System with Fuzzy-Enhanced Feedback for Multi-Droplet Manipulation

    Lab on a Chip

    Issue 3, pp 443-451 Jan-2013
  236. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, A 50fJ 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self-Embedded Offset Cancellation

    IEEE Journal of Solid-State Circuits

    Vol.47, Issue 11, pp 2614-2626 Dec-2012
  237. Chio-In Ieong, Pui In Mak, Chi-Pang Lam, Cheng Dong, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 0.83-μW QRS Detection Processor Using Quadratic Spline Wavelet Transform for Wireless ECG Acquisition in 0.35-μm CMOS

    IEEE Transactions on Biomedical Circuits and Systems

    Vol.6, Issue 6, pp 586-595 Dec-2012
  238. Wei-Han Yu, Weng-Fai Cheng, Yue Li, Chak-Fong Cheang, Pui In Mak, R. P. Martins, Low-Complexity, Full-Resolution, Mirror-Switching Digital Pre-Distortion Scheme for Polar-Modulated Power Amplifiers

    IET Electronics Letters

    Vol.48, Issue 24, pp 1551-1553 Nov-2012
  239. He Gong Wei, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, An 8-b 400-MS/s 2-b-Per-Cycle SAR ADC With Resistive DAC

    IEEE Journal of Solid-State Circuits

    Vol.47, no11, pp. 2763-2772 Nov-2012
  240. Pui In Mak, R. P. Martins, Enhanced RFICs in Nanoscale CMOS

    IEEE Microwave Magazine

    Vol.13, Issue 6, pp 80-89 Sep-2012
  241. Pui In Mak, Chon-Teng Ma, R. P. Martins, A Frequency-Translation Technique for Low-Noise Ultra-Low-Cutoff Lowpass Filtering

    Analog Integrated Circuits and Signal Processing, Springer

    Vol.72, Issue1, pp 265-269 Jul-2012
  242. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Ultra-area-efficient three-stage amplifier using current buffer Miller compensation and parallel compensation

    Electronics Letters

    Vol.48, Issue 11, pp 624-626 May-2012
  243. Zushu Yan, Pui In Mak, R. P. Martins, Double Recycling Technique for Folded-Cascode OTA

    Analog Integrated Circuits and Signal Processing, Springer

    Vol. 71, Issue 1, pp 137-141 Apr-2012
  244. Pui In Mak, R. P. Martins, A 0.46-mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65-nm CMOS

    IEEE Journal of Solid-State Circuits

    Vol. 6 , Issue 9, pp 1970-1984 Sep-2011
  245. Zushu Yan, Pui In Mak, R. P. Martins, Two-Stage Operational Amplifiers: Power-and-Area-Efficient Frequency Compensation for Driving a Wide Range of Capacitive Load

    Circuits and Systems Magazine, IEEE

    Vol.11 , Issue: 1 Mar-2011
  246. Pui In Mak, R. P. Martins, High-/Mixed-Voltage RF and Analog CMOS Circuits Come of Age

    IEEE CAS Magazine

    vol. 10, Issue 4, pp. 27-39 Dec-2010
  247. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, Design and Experimental Verification of a Power Effective Flash-SAR Subranging ADC

    IEEE Transactions on CAS – Part II: Express Briefs

    vol. 57, Issue 8, pp. 607-611 Aug-2010
  248. Pui In Mak, R. P. Martins, A 2×VDD-Enabled Mobile-TV RF Front-End with TV-GSM Interoperability in 1-V 90-nm CMOS

    IEEE Transactions on Microwave Theory and Techniques

    vol. 58, Issue 7, pp. 1664-1676 Jul-2010
  249. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, A 10-bit 100-MS/s Reference-Free SAR ADC in 90nm CMOS

    IEEE Journal of Solid-State Circuits

    vol. 45, Issue 6, pp. 1111-1121 Jun-2010
  250. Ka-Fai Un, Pui In Mak, R. P. Martins, Analysis and Design of Open-Loop Multi-Phase Local-Oscillator Generator for Wireless Applications

    IEEE Transactions on Circuits and Systems – I: Regular Papers

    vol. 57, Issue 5, pp. 970-987 May-2010
  251. Yan Zhu, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Linearity Analysis On A Series-Split Capacitor Array for High-Speed SAR ADCs

    Hindawi VLSI Design, Special Issue with "Selected Papers from the Midwest Symposium on Circuits and Systems

    vol. 2010, no. 1, pp. 1-8 Apr-2010
  252. Sai Weng Sin, Seng-Pan U, R. P. Martins, A 1.2-V 10-bit 60-360MS/s Time-Interleaved Pipelined ADC in 0.18um CMOS with Minimized Supply Headroom

    IET Proceedings - Circuits, Devices and Systems

    vol. 4, Issue 1, pp. 1-13 Jan-2010
  253. He Gong Wei, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Rapid Power-Switchable Track-and-Hold Amplifier in 90nm CMOS

    IEEE Trans. on Circuits and System II – Express Briefs

    vol. 57, Issue 1, pp. 16-20 Jan-2010
  254. Pui In Mak, R. P. Martins, Design of an ESD-Protected Ultra-Wideband LNA in Nanoscale CMOS for Full-Band Mobile TV Tuners

    IEEE Transactions on Circuits and Systems – I: Regular Papers, Special Issue of ISCAS 2008

    vol. 56, Issue 5, pp. 933-942 May-2009
  255. Sai Weng Sin, Seng-Pan U, R. P. Martins, A 1-V 10b 40MS/s Pipelined ADC with Low-Voltage Circuit Techniques in 0.18um CMOS

    澳門機電工程專業協會(APEMEM)會刊(2007-2008)

    pp. 1-7 Apr-2009
  256. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, Frequency-Bandwidth-Tunable Powerline Notch Filter for Biopotential Acquisition Systems

    IET Electronics Letters

    vol. 45, Issue 4, pp. 197-198 Feb-2009
  257. Sai Weng Sin, Seng-Pan U, R. P. Martins, Generalized Circuit Techniques for Low-Voltage High-Speed Reset- and Switched-Opamps

    IEEE Transactions on Circuits and Systems I - Regular Papers

    vol. 55, Issue 8, pp. 2188 - 2201 Sep-2008
  258. Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, Statistical Spectra and Distortion Analysis of Time-Interleaved Sampling Bandwidth Mismatch

    IEEE Trans. on Circuits and Systems II – Express Briefs

    vol. 55, Issue 7, pp. 648-652 Jul-2008
  259. Pui In Mak, Seng-Pan U, R. P. Martins, On the Design of Programmable-Gain Amplifier with Built-in Compact DC-Offset Cancellers for Very Low-Voltage WLAN Systems

    ", IEEE Transactions on Circuits and Systems – I: Regular Papers

    vol. 55, Issue 2, pp. 496-509 Mar-2008
  260. Pui In Mak, Seng-Pan U, R. P. Martins, An Experimental 1-V Flexible-IF CMOS Analogue-Baseband Chain for IEEE 802.11a/b/g WLAN Receivers

    IET Proceedings - Circuits, Devices and Systems

    vol. 1, Issue 6, pp. 415-426 Dec-2007
  261. Pui In Mak, Seng-Pan U, R. P. Martins, Transceiver Architecture Selection – Review, State-of-the-Art Survey and Case Study

    IEEE Circuits and Systems Magazine

    vol. 7, Issue 2, pp. 6-25 Jun-2007
  262. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection – A Novel Technique for Reconfigurable Multistandard Transceiver Front-Ends

    IEEE Transactions on Circuits and Systems-I, Regular Paper

    Vol. 52, issue 7, pp 1302-1315 Jul-2005
  263. Seng-Pan U, Sai Weng Sin, R. P. Martins, Exact Spectra Analysis of Sampled Signals with Jitter-Induced Nonuniformly Holding Effects

    IEEE Transactions on Instrumentation and Measurement

    vol. 53, Issue 4, pp. 1279-1299 Aug-2004
  264. Pui In Mak, Seng-Pan U, R. P. Martins, Two-Step Channel Selection Technique by Programmable Digital-Double Quadrature Sampling for Complex Low-IF Receivers

    IEE Electronics Letters

    Vol. 39, issue 11, pp 825-827 May-2003
  265. Seng-Pan U, R. P. Martins, J.E.Franca, A 2.5-V 57-MHz 15-Tap SC Bandpass Interpolating Filter with 320-MHz Output for DDFS System in 0.35-µm CMOS

    IEEE Journal of Solid-State Circuits

    Vol. 39, No.1 Feb-2002
  266. Seng-Pan U, R. P. Martins, J.E.Franca, Improved Switched-Capacitor Interpolators with Reduced Sample-and-Hold Effects

    IEEE Transactions on Circuits and Systems – II: Analog and Digital Signal Processing

    vol. 47, Issue 8, pp. 665-684 Aug-2000
  267. Seng-Pan U, R. P. Martins, J.E.Franca, Offset-& Gain-Compensated and Mismatch-Free SC Delay Circuit with Flexible Implementation

    IEE Electronics Letters

    Vol. 35, Issue 3, pp 188-189 Feb-1999
  268. Seng-Pan U, R. P. Martins, J.E.Franca, Impulse Sampled FIR Interpolation with SC Active-Delayed Block Polyphase Structures

    IEE Electronics Letters

    vol. 34, Issue 5, pp. 443-444 Mar-1998
  269. Seng-Pan U, R. P. Martins, J.E.Franca, Switched-Capacitor Interpolators Without the Input Sample-and-Hold Filtering Effect

    IEE Electronics Letters

    Vol. 32, Issue 10, pp 879-881 May-1996
  1. Tingxu Hu, Mo Huang, Yan Lu and Rui P. Martins, A 4A 12-to-1 Flying Capacitor Cross-Connected DC-DC Converter with Inserted D>0.5 Control Achieving >2× Transient Inductor Current Slew Rate and 0.73× Theoretical Minimum Output Undershoot of DSD

    in 2022 IEEE International Solid- State Circuits Conference - (ISSCC)

    Feb-2023
  2. Yuanfei Wang, Mo Huang, Yan Lu and R. P. Martins, A Continuously Scalable-Conversion-Ratio SC Converter with Reconfigurable VCF Step for High Efficiency over an Extended VCR Range

    2023 IEEE International Solid- State Circuits Conference - (ISSCC)

    Feb-2023
  3. Junyan Hao, Minglei Zhang, Yanbo Zhang, Shubin Liu, Zhangming Zhu, Yan Zhu, Chi-Hang Chan and R. P. Martins, A Single-Channel 2.6GS/s 10b Dynamic Pipelined ADC with Time-Assisted Residue Generation Scheme Achieving Intrinsic PVT Robustness

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  4. Yuefeng Cao, Minglei Zhang, Yan Zhu, Chi-Hang Chan and R. P. Martins, A Single-Channel 12b 2GS/s PVT-Robust Pipelined ADC with Critically Damped Ring Amplifier and Time-Domain Quantizer

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  5. Hongshuai Zhang; Yan Zhu; Chi-Hang Chan; R. P. Martins, A 25MHz-BW 77.2dB-SNDR 2nd-Order Gain Error Shaping and NS Pipelined SAR ADC Based on Quantization-Prediction-Unrolled Scheme

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  6. Hongzhi Zhao, Minglei Zhang, Yan Zhu, Chi-Hang Chan and R. P. Martins, A 2×-Interleaved 9b 2.8GS/s 5b/cycle SAR ADC with Linearized Configurable V2T Buffer Achieving >50dB SNDR at 3GHz Input

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  7. Yanbo Zhang, Junyan Hao, Shubin Liu, Zhangming Zhu, Yan Zhu, Chi-hang Chan and R. P. Martins, A Single-channel 70dB-SNDR 100MHz-BW 4th-Order Noise-Shaping Pipeline SAR ADC with Residue Amplifier Error Shaping

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  8. Zhiguo Tong, Junwei Huang, Yan Lu and Rui P. Martins, A 42W Reconfigurable Bidirectional Power Delivery Voltage-Regulating Cable

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  9. Guigang Cai, Yan Lu and Rui P. Martins, A Compact 12V-to-1V 91.8% Peak Efficiency Hybrid Resonant Switched-Capacitor Parallel Inductor (ReSC-PL) Buck Converter

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  10. Tingxu Hu, Mo Huang, Yan Lu and Rui P. Martins, A 12-1 Quad-Output Switched-Capacitor Buck Converter with Shared DC Capacitors Achieving 90.4% Peak Efficiency and 48mA/mm3 Power Density at 85% Efficiency

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  11. Yuanfei Wang, Mo Huang, Yan Lu and Rui P. Martins, A Continuously Scalable-Conversion-Ratio SC Converter with Reconfigurable VCF Step for High Efficiency over an Extended VCR Range

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  12. Wen-Liang Zeng, Guigang Cai, Chon-Fai Lee, Chi-Seng Lam, Yan Lu, Sai-Weng Sin and Rui P. Martins, A 12V-Input 1V-1.8V-Output 93.7% Peak Efficiency Dual-Inductor Quad-Path Hybrid DC-DC Converter

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  13. Xiangxun Zhan, Jun Yin, Pui-In Mak and Rui P. Martins, A 22.4-to-26.8GHz Dual-Path-Synchronized Quad-Core Oscillator Achieving −138dBc/Hz PN and 193.3dBc/Hz FoM at 10MHz Offset from 25.8GHz

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  14. Zhizhan Yang, Jun Yin, Haochen Zhang, Wei-han Yu, Pui-In Mak and Rui P. Martins, An ULP Long-Range Active-RF Tag with Automatic Antenna-Interface Calibration Achieving 20.5% TX Efficiency at -22dBm EIRP, and -60.4dBm Sensitivity at 17.8nW RX Power

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  15. Hao Guo, Yong Chen, Yunbo Huang, Pui-In Mak and Rui P. Martins, An 83.3-to-104.7GHz Harmonic-Extraction VCO Incorporating Multi-resonance, Multi-core and Multi-mode (3M) Techniques Achieving -124dBc/Hz Absolute PN and 190.7dBc/Hz FOMT

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  16. Jinhai Lin, Ka-Fai Un, Wei-Han Yu, Pui-In Mak and Rui P. Martins, A 47nW Mixed-Signal Voice Activity Detector (VAD) Featuring a Non-Volatile Capacitor-ROM, a Short-Time CNN Feature Extractor and an RNN Classifier

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  17. Haihua Li, Ka-Meng Lei, Pui-In Mak and Rui Martins, A 12/13.56MHz Crystal Oscillator with Binary-Search-Assisted Two-Step Injection Achieving 5.0nJ Startup Energy and 45.8µs Startup Time

    2023 IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2023
  18. Tingxu Hu, Mo Huang, Yan Lu, and R. P. Martins, A 12-1 Quad-Output Switched-Capacitor Buck Converter with Shared DC Capacitors Achieving 90.4% Peak Efficiency and 48mA/mm3 Power Density at 85% Efficiency

    2023 IEEE International Solid- State Circuits Conference - (ISSCC)

    Feb-2023
  19. Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, A 108nW 0.8mm2 Analog Voice Activity Detector (VAD) Featuring a Time-Domain CNN as a Programmable Feature Extractor and a Sparsity-Aware Computational Scheme in 28nm CMOS

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2022
  20. Haijun Shao, Pui-In Mak, Gengzhen Qi, Rui P. Martins, A 266µW Bluetooth Low-Energy (BLE) Receiver Featuring an N-Path Passive Balun-LNA and a Pipeline Down-Mixing BB-Extraction Scheme Achieving 77dB SFDR and -3dBm OOB-B-1dB

    IEEE International Solid-State Circuits Conference (ISSCC), pp. 400-401

    Feb-2022
  21. Ka Meng Lei, Pui In Mak, Rui P. Martins, A 0.45-V 3.3-µW Resistor-Based Temperature Sensor Achieving 10mK Resolution in 65-nm CMOS

    4th IEEE International Conference on Integrated Circuits, Technologies & Applications, pp 127-128,

    Nov-2021
  22. Xiaofei Li, Yan Lu, Rui P. Martins, Design of Diode-Connected and Cross-Connected CMOS Rectifiers with Adaptive Tuning for RF Energy Harvesting

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2021
  23. Xi Meng, Junqi Guo, Haoran Li, Jun Yin, Pui-In Mak, Rui P. Martins, A 15.2-to-18.2GHz Balanced Dual-Core Inverse-Class-F VCO with Q-Enhanced 2nd-Harmonic Resonance Achieving 187-to-188.1dBc/Hz FoM in 28nm CMOS

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Session 12/ Paper 12.1

    Nov-2021
  24. Jixuan Li, Jiabao Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, A 50.4 GOPs/W FPGA-Based MobileNetV2 Accelerator using the Double-Layer MAC and DSP Efficiency Enhancement

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    IEEE ASSCC 2021, Session 7/ paper 7.3

    Nov-2021
  25. Chongyao Xu, Jieyun Zhang, Man-Kay Law, Yang Jiang, Xiaojin Zhao, Pui-In Mak, Rui P. Martins, Modeling Attack Resistant Strong PUF Exploiting Obfuscated Interconnections With <0.83% Bit-Error Rate

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 19/ paper 19.4

    Nov-2021
  26. Jiahao Liu; Yan Zhu; Chi Hang Chan; Rui Paulo Martins, A 0.46pJ/bit Ultralow-Power Entropy-Preselection-Based Strong PUF with Worst-Case BER<6.7×10-6

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 11/ paper 11.3

    Nov-2021
  27. Chi-Wa U, Man-Kay Law, Chi-Seng Lam, Rui P. Martins, Auto-Calibration Technique for Current-Based Bandgap Voltage Reference

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 6/ Paper 6.1

    Nov-2021
  28. Wen-Liang Zeng, Caolei Pan, Chi-Seng Lam, Sai-Weng Sin, Chenchang Zhan, Rui P. Martins, A 95% Peak Efficiency Modified KY (Boost) Converter for IoT with Continuous Flying Capacitor Charging in DCM

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 2 / paper 2.2.

    Nov-2021
  29. Yang Jiang, Man-Kay Law, Pui-In Mak, Rui P. Martins, An Arithmetic Progression Switched-Capacitor DC-DC Converter with Soft VCR Transitions Achieving 93.7% Peak Efficiency and 400 Ma Output Current

    2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), Session 2 / Paper 2.1

    Nov-2021
  30. Mingqiang Guo, Sai-Weng Sin, Rui P. Martins, Background Timing-Skew Mismatch Calibration for Time-Interleaved ADCs

    2021 International SoC Design Conference (ISOCC), pp 248-249

    Oct-2021
  31. Wei-Han Yu, Massimo Giordano, Rohan Doshi, Minglei Zhang, Pui-In Mak, Rui P. Martins and Boris Murmann, A 4-bit Mixed-Signal MAC Array with Swing Enhancement and Local Kernel Memory

    2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp 326-329

    Aug-2021
  32. Xiaoteng Zhao, Yong Chen, Lin Wang, Pui-In Mak, Franco Maloberti, and Rui P. Martins, A Sub-0.25pJ/bit 47.6-to-58.8Gb/s Reference-Less FD-Less Single-Loop PAM-4 Bang-Bang CDR with a Deliberately-Current-Mismatch Frequency Acquisition Technique in 28nm CMOS [Best Student Paper Award – 3rd Place]

    IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp 131-134

    Jun-2021
  33. Lai Wei; Zihao Zheng; Nereo Markulic; Jorge Lagos; Ewout Martens; Yan Zhu; Chi-Hang Chan; Jan Craninckx; Rui Paulo Martins, An Auxiliary-Channel-Sharing Background Distortion and Gain CalibrationAchieving >8dB SFDR Improvement over 4th Nyquist Zone in 1GS/s ADC

    2021 Symposium on VLSI

    Jun-2021
  34. Xiaoteng Zhao, Yong Chen, Xuqiang Zheng, Pui-In Mak, and Rui P. Martins,, A 0.01mm2 1.2-pJ/bit 6.4-to-8Gb/s Reference-less FD-Less BBCDR Using a Deliberately-Clock-Selected Strobe Point Based on a 2π/3-Interval Phase

    IEEE International Microwave Symposium (IMS), pp 386-389

    Jun-2021
  35. Yangyang Liu; Yu Lei; Man Kay Law; Bruno Veigas; Pui In Mak; Rui P. Martins, A Time-Domain CMOS Temperature Sensor Using Gated Ring Oscillator With Linearity Optimization

    IEEE International Symposium on Signals, Circuits and Systems

    May-2021
  36. Yunbo Huang, Yong Chen, Pui-In Mak, and Rui P. Martins, A 3.52-GHz Harmonic-Rich-Shaping VCO with Noise Suppression and Circulation Achieving -151-dBc/Hz Phase Noise at 10-MHz Offset

    2021 IEEE International Symposium on Circuits and Systems

    May-2021
  37. Xinyu Qin; Jingying Zhang; Liang Qi; Sai-Weng Sin; Rui P. Martins; Guoxing Wang, Discrete-Time MASH Delta-Sigma Modulator with Second-Order Digital Noise Coupling for Wideband High-Resolution Application

    2021 IEEE International Symposium on Circuits and Systems

    May-2021
  38. Ren Shen, Yanwei Jia, Pui-In Mak, Rui Martins, Naked eye observation of PCR on digital microfluidics with CRoA

    microTAS, online

    Oct-2020
  39. Fangyu Mao, Yan Lu, Edoardo Bonizzoni, Filippo Boera, Mo Huang, Franco Maloberti, R. P. Martins, A 10.4mW 50MHz-BW 80dB-DR Single-Opamp Third-Order CTSDM with SABELD-Merged Integrator and 3-Stage Opamp

    VLSI 2020

    Jun-2020
  40. Kai Xing, Lei Wang, Yan Zhu, Chi Hang Chan, R. P. Martins, A 10.4mW 50MHz-BW 80dB-DR Single-Opamp Third-Order CTSDM with SABELD-Merged Integrator and 3-Stage Opamp

    2020 Symposia on VLSI Technology and Circuits

    Jun-2020
  41. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.082mm2 24.5-to-28.3GHz Multi-LC-Tank Fully-Differential VCO Using Two Separate Single-Turn Inductors and a 1D-Tuning Capacitor Achieving 189.4dBc/Hz FOM and 200±50kHz 1/f3 PN Corner

    IEEE Radio Frequency Integrated Circuits (RFIC) Symposium

    Jun-2020
  42. Jiang DongYang, Liang Qi, Sai Weng Sin, Franco Maloberti, R. P. Martins, A 5MHz-BW, 86.1dB-SNDR 4X Time-Interleaved 2nd-order ΔΣ Modulator with Digital Feedforward Extrapolation in 28nm CMOS

    2020 Symposium on VLSI Circuits Digest of Technical Papers

    Jun-2020
  43. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.0285mm2 0.68pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate Frequency Detector Achieving an 8.2(Gb/s)/µs Acquisition Speed of PAM-4 data in 28nm CMOS

    IEEE Custom Integrated Circuits Conference (CICC)

    Mar-2020
  44. Fangyu Mao, Yan Lu, Edoardo Bonizzoni, Filippo Boera, Mo Huang, Franco Maloberti, R. P. Martins, A Power-Efficient Hybrid Single-Inductor Bipolar-Output DC-DC Converter with Floating Negative Output for AMOLED Displays

    CICC 2020

    Mar-2020
  45. Jiang DongYang, Liang Qi, Sai Weng Sin, Franco Maloberti, R. P. Martins, A 5MHz-BW, 86.1dB-SNDR 4X Time-Interleaved 2nd-order ÄÓ Modulator with Digital Feedforward Extrapolation in 28nm CMOS

    CICC 2020

    Mar-2020
  46. Chao Fan, Jun Yin, Chee-Cheow Lim, Pui In Mak, R. P. Martins, A 9mW 54.9-to-63.5GHz Current-Reuse LO Generator with a 186.7dBc/Hz FoM by Unifying a 20GHz 3rd Harmonic-Rich Current-Output VCO, a Harmonic-Current Filter and a 60GHz TIA

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 282-284 Feb-2020
  47. Zihao Zheng, Lai Wei, Jorge Lagos, Ewout Martens, Yan Zhu, Chi Hang Chan, Jan Craninckx, R. P. Martins, A Single-Channel 5.5mW 3.3GS/s 6b Fully Dynamic Pipelined ADC with Post-Amplification Residue Generation

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 254-256 Feb-2020
  48. Yan Song, Yan Zhu, Chi Hang Chan, R. P. Martins, A 2.56mW 40MHz-Bandwidth 75dB-SNDR PartialInterleaving SAR-Assisted NS Pipeline ADC With Background Inter-Stage Offset Calibration

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp.164-166 Feb-2020
  49. Mo Huang, Yan Lu, R. P. Martins, A 2-Phase Soft-Charging Hybrid Boost Converter with Doubled-Switching Pulse Width and Shared Bootstrap Capacitor Achieving 93.5% Efficiency at a Conversion Ratio of 4.5

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 198-200 Feb-2020
  50. Minglei Zhang, Yan Zhu, Chi Hang Chan, R. P. Martins, A 4× Interleaved 10GS/s 8b Time-Domain ADC with 16× Interpolation-Based Inter-Stage Gain Achieving >37.5dB SNDR at 18GHz Input

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 252-254 Feb-2020
  51. Gengzhen Qi, Haijun Shao, Pui In Mak, Jun Yin, R. P. Martins, A 1.4-to-2.7GHz FDD SAW-less Transmitter for 5G-NR Using a BW-Extended N-Path Filter-Modulator, an Isolated-BB Input and a Wideband TIA-Based PA Driver Achieving <-157.5dBc/Hz OB Noise

    IEEE International Solid-State Circuits Conference (ISSCC)

    Digest., pp. 172-173 Feb-2020
  52. Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery Circuit (BBCDR) in 28-nm CMOS

    IEEE Asia Pacific Conference on Circuits and Systems

    Nov-2019
  53. Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS

    in IEEE Int'l Symposium on IC and Systems (ISICAS)

    pp. 1768 - 1772, Venice, Italy Aug-2019
  54. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Using EDA Tools to Push the Performance Boundaries of an Ultralow-Power IoT-VCO at 65nm

    2019 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)

    Jul-2019
  55. Ren Shen, Yanwei Jia, Pui In Mak, R. P. Martins, Hairpin-structured PCR enhancer for digital microfluidic systems, Poster presentation

    IMCO 2019, Hong Kong

    Jun-2019
  56. Zhai Jiao, Yanwei Jia, Pui In Mak, R. P. Martins, Digital microfluidic system for single cell culture and drug screening, Poster presentation

    IMCO 2019, Hong Kong

    Jun-2019
  57. Haoran Li, Ren Shen, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Electric-controlled precise and flexible sample delivery on DMF, Oral presentation

    IMCO 2019, Hong Kong

    Jun-2019
  58. Mingqiang Guo, Jiaji Mao, Sai Weng Sin, Hegong Wei, R. P. Martins, A 29mW 5GS/s Time-interleaved SAR ADC achieving 48.5dB SNDR With Fully-Digital Timing-Skew Calibration Based on Digital-Mixing

    IEEE Symposium on VLSI Circuits (VLSI)

    Jun-2019
  59. Ruping Xiao, Mingzhong Li, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.45-V 70-nW QRS Detector Using Decimated Quadratic Spline Wavelet Transform and Window-based Extrema Difference Techniques

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    Jun-2019
  60. Yukun Xu, Man-Kay Law, Pui In Mak, R. P. Martins, A Curvature Compensated BJT-based Time-Domain Temperature Sensor With An Inaccuracy of ±0.7°C From -40°C to 125°C

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    Jun-2019
  61. Yan Lu, Mo Huang, R. P. Martins, PID Control Considerations for Analog-Digital Hybrid Low-Dropout Regulators (Invited Paper)

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    Jun-2019
  62. Mingqiang Guo, Jiaji Mao, Sai Weng Sin, Hegong Wei, R. P. Martins, A 1.6GS/s 12.2mW 7/8-way Split Time-interleaved SAR ADC with Digital Background Mismatch Calibration

    IEEE Custom Integrated Circuits Conference (CICC)

    Apr-2019
  63. Zunsong Yang, Yong Chen, Shiheng Yang, Pui In Mak, R. P. Martins, A 25.4-to-29.5GHz 10.2mW Isolated-Sub-Sampling PLL (iSS-PLL) Achieving -252.9dB Jitter-power FOM and -63dBc Reference Spur

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 270-272 Feb-2019
  64. Hao Guo, Yong Chen, Pui In Mak, R. P. Martins, A 0.08mm2 25.5-to-29.9GHz Multi-Resonant-RLCM-Tank VCO Using a Single-Turn Multi-Tap Inductor and CM-Only Capacitors Achieving 191.6-dBc/Hz FOM and 130kHz 1/f3 PN Corner

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 410-412 Feb-2019
  65. Zhiyuan Chen, JIANG Yang, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, Piezoelectric Energy Harvesting Interface using Split-Phase Flipping-Capacitor Rectifier (SPFCR) and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3× Energy Extraction Improvement

    in IEEE International Solid-State Circuits Conference (ISSCC)

    Dig. Tech. Papers Feb-2019
  66. Liang Qi, Ankesh Jain, Jiang DongYang, Sai Weng Sin, R. P. Martins, Maurits Ortmanns, A 76.6dB-SNDR 50MHz-BW 29.2mW Noise-Coupling-Assisted CT Sturdy MASH ΔΣ Modulator with 1.5b/4b Quantizers in 28nm CMOS

    IEEE International Solid-State Circuits Conference (ISSCC 2019)

    pp.336-338 Feb-2019
  67. Zhiyuan Chen, Yang Jiang, Man-Kay Law, Pui In Mak, Xiaoyang Zeng, R. P. Martins, A Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier and Capacitor Reuse Multiple-VCR SC DC-DC Achieving 9.3× Energy-Extraction Improvement

    IEEE International Solid-State Circuits Conference (ISSCC 2019)

    pp. 424-426 Feb-2019
  68. Wenning Jiang, Yan Zhu, Minglei Zhang, Chi Hang Chan, R. P. Martins, A 7.6mW 1GS/s 60dB SNDR Single-Channel SAR-Assisted Pipelined ADC with Temperature-Compensated Dynamic Gm-R-Based Amplifier

    IEEE International Solid-State Circuits Conference (ISSCC 2019

    pp.60-62 Feb-2019
  69. Minglei Zhang, Chi Hang Chan, Yan Zhu, R. P. Martins, A 0.6V 13b 20MS/s Two-Step TDC-Assisted SAR ADC with PVT Tracking and Speed-Enhanced Techniques

    IEEE International Solid-State Circuits Conference (ISSCC 2019)

    pp.66-68 Feb-2019
  70. Wei Wang, Chi Hang Chan, Yan Zhu, R. P. Martins, A 72.6dB-SNDR 100MHz-BW 16.36mW CTDSM with Preliminary Sampling and Quantization Scheme in Backend Subranging QTZ

    IEEE International Solid-State Circuits Conference (ISSCC 2019)

    pp.340-342 Feb-2019
  71. Wenning Jiang, Yan Zhu, Chi Hang Chan, Boris Murmann, Seng-Pan U, R. P. Martins, A 7b 2 GS/s Time-Interleaved SAR ADC with Time Skew Calibration Based on Current Integrating Sampler

    2018 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    [Highlighted Paper] Nov-2018
  72. U-Fat Chio, Kuo-Chih Wen, Sai Weng Sin, Chi-Seng Lam, Yan Lu, Franco Maloberti, R. P. Martins, An Integrated DC-DC Converter with Segmented Frequency Modulation and Multiphase Co-Work Control for Fast Transient Recovery

    2018 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Nov-2018
  73. Zhai Jiao, Yunyi Li, Cheng Dong, Haoran Li, Yanwei Jia, Pui In Mak, R. P. Martins, 3D Microstructures to Realize Single Cell Culture on Digital Microfluidic Chip for Precision Medicine, Poster presentation

    microTAS 2018, Kaohsiung, Taiwan

    Nov-2018
  74. Haoran Li, Yanwei Jia, Ren Shen, Tianlan Chen, Cheng Dong, Pui In Mak, R. P. Martins, On-chip Pico-pipette: A Method for Precise Delivery in a DMF system, Poster presentation

    microTAS 2018, Kaohsiung, Taiwan

    Nov-2018
  75. Kai Xu, Jun Yin, Pui In Mak, Robert Bogdan Staszewski, R. P. Martins, A 2.4-GHz Single-Pin Antenna Interface RF Front-End with a Function-Reuse Single-MOS VCO-PA and a Push-Pull LNA

    2018 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Oct-2018
  76. Jianyang Deng, Chi-Seng Lam, Man-Chung Wong, Lei Wang, Sai Weng Sin, R. P. Martins, A Power Quality Indexes Measurement System Platform with Remote Alarm Notification

    44th Annual Conference of the IEEE Industrial Electronics Society (IECON 2018)

    Oct-2018
  77. Chi Hang Chan, Yan Zhu, Zihao Zheng, R. P. Martins, A 39mW 7b 8GS/s 8-way TI ADC with Cross-linearized Input and Bootstrapped Sampling Buffer Front-end

    ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC)

    Sep-2018
  78. Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Design and Optimization of a Class-C/D VCO for Ultra-Low-Power IoT and Cellular Applications

    15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD 2018)

    Jul-2018
  79. Yan Song, Yan Zhu, Chi Hang Chan, Li Geng, R. P. Martins, A 77dB SNDR 12.5MHz Bandwidth 0-1 MASH ΣΔ ADC Based on the Pipelined-SAR Structure

    Proc. IEEE Symposium on VLSI Circuits - VLSI 2018

    Jun-2018
  80. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, A 550μW 20kHz BW 100.8dB SNDR Linear-Exponential Multi-Bit Incremental Converter with 256-cycles in 65nm CMOS

    Proc. IEEE Symposium on VLSI Circuits - VLSI 2018

    Jun-2018
  81. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, A 550µW 20kHz BW 100.8DB SNDR Linear-Exponential Multi-Bit Incremental Converter with 256-cycles in 65NM CMOS

    2018 IEEE Symposium on VLSI Circuits

    [Travel Grant Award] [Invited Special Issue in JSSC] Jun-2018
  82. Liang Wan, Haoran Li, Tianlan Chen, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, In-minutes Polymerase Chain Reaction With Specific Dna Amplification On Digital Microfluidics With Sloppy Temperature Control

    APCOT 2018, Hong Kong

    Poster presentation Jun-2018
  83. Yi-Wei Tan, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, R. P. Martins, Design and control of an Integrated 3-level boost converter under DCM operation

    2018 International Symposium on Circuits and Systems (ISCAS)

    pp. 1-5 May-2018
  84. Chi Hang Chan, Yan Zhu, Seng-Pan U, R. P. Martins, A 7.8mW 5b 5GS/s Dual-Edges-Triggered Time-Based Flash ADC

    forthcoming Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2018

    May-2018
  85. Fangyu Mao, Yan Lu, Jie Lin, ChenChang Zhan, Seng-Pan U, R. P. Martins, A Single-Stage Current-Mode Active Rectifier with Accurate Output-Current Regulation for IoT

    forthcoming Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2018

    May-2018
  86. Yuanqing Huang, Yan Lu, Franco Maloberti, Seng-Pan U, R. P. Martins, A Dual-Loop Digital LDO Regulator with Asynchronous-Flash Binary Coarse Tuning

    forthcoming Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2018

    May-2018
  87. Ka-Meng Lei, Pui In Mak, R. P. Martins, A 0.4V 6.4µW 3.3MHz CMOS Bootstrap Relaxation Oscillator with ±0.71% Frequency Deviation from -30°C to 100°C for Wearable and Sensing Applications

    forthcoming Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2018

    May-2018
  88. Fangyu Mao, Yan Lu, Seng-Pan U, R. P. Martins, A 6.78 MHz active voltage doubler with near-optimal on/off delay compensation for wireless power transfer systems

    International Symposium on VLSI Design, Automation and Test (VLSI-DAT)

    Apr-2018
  89. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.22-to-2.4V-Input Fine-Grained Fully-Integrated Rational Buck-Boost SC DC-DC Converter Using Algorithmic Voltage-Feed-In (AVFI) Topology Archiving 84.1% Peak Efficiency at 13.2μW/mm2

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted and [Invited Special Issue in JSSC], pp. 422-423 Feb-2018
  90. Jiaji Mao, Mingqiang Guo, Sai Weng Sin, R. P. Martins, A 14-bit Split Pipeline ADC with Self-Adjusted Opamp-Sharing Duty Cycle

    IEEE International Solid-State Circuits Conference – ISSCC 2018

    Ph.D. Student Research Preview - Session 3, Paper No.7 Feb-2018
  91. Jun Yin, S. Yang, H. Yi, Wei-Han Yu, Pui In Mak, R. P. Martins, A 0.2V Energy-Harvesting BLE Transmitter with a Micropower Manager Achieving 25% System Efficiency at 0dBm Output and 5.2nW Sleep Power in 28nm CMOS

    Digest of Technical Papers from IEEE International Solid-State Circuits Conference – ISSCC 2018

    vol.61, pp.450-452 Feb-2018
  92. Xiaofei Ma, Yan Lu, R. P. Martins, Qiang Li, A 0.4V 430nA quiescent current NMOS digital LDO with NAND-based analog-assisted loop in 28nm CMOS

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    Feb-2018
  93. Fangyu Mao, Yan Lu, Seng-Pan U, R. P. Martins, A reconfigurable cross-connected wireless-power transceiver for bidirectional device-to-device charging with 78.1% total efficiency

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    Feb-2018
  94. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, A 0.0056mm2all-digital MDLL using edge re-extraction, dual-ring VCOs and a 0.3mW block-sharing frequency tracking loop achieving 292fsrmsJitter and −249dB FOM

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    [Invited Special Issue in JSSC] Feb-2018
  95. Chee-Cheow Lim, Jun Yin, Pui In Mak, Harikrishnan Ramiah, R. P. Martins, An inverse-class-F CMOS VCO with intrinsic-high-Q 1st- and 2nd-harmonic resonances for 1/f2-to-1/f3 phase-noise suppression achieving 196.2dBc/Hz FOM

    2018 IEEE International Solid - State Circuits Conference - (ISSCC)

    [Invited Special Issue in JSSC] Feb-2018
  96. Xingqiang Peng, Jun Yin, Wei-Han Yu, Pui In Mak, R. P. Martins, A Coin-Battery-Powered LDO-Free 2.4-GHz Bluetooth Low-Energy Transmitter (TX)

    IEEE International Solid-State Circuits Conference – ISSCC 2018

    [Student Research Preview] Feb-2018
  97. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Regulation-Free Sub-0.5V 16/24MHz Crystal Oscillator for Energy Harvesting BLE Radios with 14.2nJ Startup Energy and 31.8uW Steady-State Power

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted. pp. 52-53 Jan-2018
  98. Junmin Jiang, Yan Lu, Wing-Hung Ki, Philip K. T. Mok, Seng-Pan U, R. P. Martins, A Dual-Output SC Converter with Dynamic Power Allocation for Multi-Core Application Processors

    Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC,

    pp. 285-286 Jan-2018
  99. Wei Wang, Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, A 5.35 mW 10 MHz Bandwidth CT Third-Order ∆∑ Modulator with Single Opamp Achieving 79.6/84.5 dB SNDR/DR in 65 nm CMOS

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    (highlighted paper and suggested to JSSC special issue), pp.285-288 Nov-2017
  100. U-Fat Chio, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, A 5-bit 2 GS/s binary-search ADC with charge-steering comparators

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp221-224 Nov-2017
  101. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital Microfluidic Platform for False-Positive-Free Loop-Mediated Isothermal Amplification

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    paper M167g Oct-2017
  102. Biao Chen, JIANG Yang, Kwan-Ting Ng, Man-Kay Law, Pui In Mak, R. P. Martins, A Wide Range High Efficiency Fully Integrated Switched-Capacitor DC-DC Converter with Fixed Output Spectrum Modulation

    IEEE Int. Conference of Electron Devices and Solid-State Circuits (EDSSC)

    Oct-2017
  103. Ruping Xiao, Mingzhong Li, Man-Kay Law, R. P. Martins, Ultra-low Power QRS Detection using Adaptive Thresholding based on Forward Search Interval Technique

    IEEE Int. Conference of Electron Devices and Solid-State Circuits (EDSSC)

    Oct-2017
  104. Chi-Wa U, Chi-Seng Lam, Man-Kay Law, Sai Weng Sin, Man-Chung Wong, Si-Seng Wong, R. P. Martins, CCM Operation Analysis and Parameter Design of Negative Output Elementary Luo Converter for Ripple Suppression

    The 43rd Annual Conference of the IEEE Industrial Electronics Society (IECON 2017), Beijing, China,

    No. 01, 2017, pp. 4867 - 4871. Oct-2017
  105. Wang GuanCheng, Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, A missing-code-detection gain error calibration achieving 63dB SNR for an 11-bit ADC

    ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference

    Leuven, pp. 239-242. Sep-2017
  106. Xia Du, Chi-Seng Lam, Sai Weng Sin, Man-Kay Law, Franco Maloberti, Man-Chung Wong, Seng-Pan U, R. P. Martins, A digital pwm controlled ky step-up converter based on frequency domain ΣΔ ADC

    The 26th IEEE International Symposium on Industrial Electronics (ISIE 2017)

    pp.561-564 Jun-2017
  107. Gengzhen Qi, Barend van Liempd, Pui In Mak, R. P. Martins, Jan Craninckx, A 0.7 to 1 GHz Switched-LC N-Path LNA Resilient to FDD-LTE Self-Interference at ≥40 MHz Offset

    IEEE Radio Frequency Integrated Circuits Symposium (RFIC)

    pp. 276-279 Jun-2017
  108. Mingqiang Guo, Sai Weng Sin, Seng-Pan U, R. P. Martins, Split-based time-interleaved ADC with digital background timing-skew calibration

    2017 13th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME)

    Jun-2017
  109. Dapeng Sun, Man-Kay Law, Bo Wang, Pui In Mak, R. P. Martins, Piecewise BJT Process Spread Compensation Exploiting Base Recombination Current

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  110. Tan-Tan Zhang, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, A 310nW 14.2-Bit Iterative-Incremental ADC for Wearable Sensing Systems

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  111. Ka-Meng Lei, Pui In Mak, R. P. Martins, A 0.4V 4.8μW 16MHz CMOS Crystal Oscillator Achieving 74-Fold Startup-Time Reduction Using Momentary Detuning

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1-4 May-2017
  112. Z.Chen, Man-Kay Law, Pui In Mak, W. H. Ki, R. P. Martins, A 1.7mm2 Inductor-less Fully-Integrated Flipping-Capacitor Rectifier (FCR) for Piezoelectric Energy Harvesting with 483% Power Extraction Enhancement

    IEEE Int. Solid-State Circuit Conference (ISSCC), Digest of Technical Papers

    accepted Feb-2017
  113. Mo Huang, Yan Lu, Seng-Pan U, R. P. Martins, A Reconfigurable Bidirectional Wireless Power Transceiver with Maximum Current Charging Mode and 58.6% Battery-to-Battery Efficiency

    IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC)

    pp.376-378 Feb-2017
  114. Mo Huang, Yan Lu, Seng-Pan U, R. P. Martins, An Output-Capacitor-Free Analog-Assisted Digital Low-Dropout Regulator with Tri-loop Control

    IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC)

    Feb-2017
  115. Junmin Jiang, Yan Lu, Wing-Hung Ki, Seng-Pan U, R. P. Martins, A Dual-Symmetrical-Output Switched-Capacitor Converter with Dynamic Power Cells and Minimized Cross Regulation for Application Processors in 28nm CMOS

    IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC)

    Feb-2017
  116. Chi Hang Chan, Yan Zhu, Ho Iok Meng, Zhang WaiHong, Seng-Pan U, R. P. Martins, A 5mW 7b 2.4GS/s 1-then-2b/cycle SAR ADC with Background Offset Calibration

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 282-284 Feb-2017
  117. Wei-Han Yu, Haidong Yi, Pui In Mak, Jun Yin, R. P. Martins, A 0.18V 382µW Bluetooth Low-Energy (BLE) Receiver with 1.33nW Sleep Power for Energy-Harvesting Applications in 28nm CMOS

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    pp. 414-415, Feb-2017
  118. JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.22-to-2.4V Input Fully Integrated Buck-Boost SC DC-DC Converter with Cell-Spliced Power Stage and Domain-Adaptive Switch Drivers

    IEEE International Solid-State Circuits Conference

    - Student Research Preview (ISSCC-SRP), San Francisco, USA Feb-2017
  119. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld High Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE Journal of Solid-State Circuit

    vol. 52, no. 1, pp. 284-297 [Invited Paper] Jan-2017
  120. Wei Li, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Mixed-Signal Sigma-Delta Interface circuit for Navigation System Applications

    International Symposium on Integrated Circuits

    Dec-2016
  121. Jie Gao, Liang Wan, Yanwei Jia, Tianlan Chen, Cheng Dong, Haoran Li, Shun Liu, Pui In Mak, R. P. Martins, A Thermal Digital Microfluidic Device and Its Application to Disease Diagnostics

    Lab on a Chip International Symposium: Droplet-based Microfluidics

    Hang Zhou, China Nov-2016
  122. Liang Wan, Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital microfluidic system for LAMP-based detection of Trypanosoma brucei using molecular beacon probes

    Lab on a Chip International Symposium: Droplet-based Microfluidics

    Hang Zhou, China Nov-2016
  123. Yuan Ren, Sai Weng Sin, Chi-Seng Lam, Man-Chung Wong, Seng-Pan U, R. P. Martins, A high DR multi-channel stage-shared hybrid sigma-delta modulator for integrated power electronics controller front-end

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Toyama, Japan Nov-2016
  124. Chi Hang Chan, Yan Zhu, Ho Iok Meng, Zhang WaiHong, Chon-Lam Lio, Seng-Pan U, R. P. Martins, A 0.011mm2 60dB SNDR 100MS/s Reference Error Calibrated SAR ADC with 3pF Decoupling Capacitance for Reference Voltages

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp. 145-148 (highlighted paper and invited to JSSC special issue) Nov-2016
  125. Cheng Dong, Yanwei Jia, Tianlan Chen, Jie Gao, Liang Wan, Pui In Mak, Mang I Vai, R. P. Martins, Precise Droplet Splitting on Digital Microfluidic Chip with Blade Structures”,

    MicroTAS

    Dublin, Ireland Oct-2016
  126. Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Liang Wan, Pui In Mak, R. P. Martins, A Calibration-free Thermal Digital Microfluidic Device for Ultrafast DNA Melting Curve Analysis

    MicroTAS

    Dublin, Ireland Oct-2016
  127. Mo Huang, Yan Lu, Seng-Pan U, R. P. Martins, A Digital LDO with Transient Enhancement and Limit Cycle Oscillation Reduction

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Oct-2016
  128. Dezhi Xing, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Fan Ye, Junyan Ren, Seng-Pan U, R. P. Martins, Seven-bit 700-MS/s Four-Way Time-Interleaved SAR ADC With Partial Vcm-Based Switching

    IEEE ISCAS 2017

    accepted Oct-2016
  129. Dante Gabriel Muratore, Alper Akdikmen, Edoardo Bonizzoni, Franco Maloberti, U-Fat Chio, Sai Weng Sin, R. P. Martins, An 8-bit 0.7-GS/s Single Channel Flash-SAR ADC in 65-nm CMOS Technology

    . IEEE European Solid-State Circuits Conference – ESSCIRC 2016

    pp. 421-424 Sep-2016
  130. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 12b 180MS/s 0.068mm2 Pipelined-SAR ADC with Merged-residue DAC for Noise Reduction

    IEEE European Solid-State Circuits Conference – ESSCIRC 2016

    pp. 169-172 Sep-2016
  131. Cheng Dong, Yanwei Jia, Tianlan Chen, Liang Wan, Pui In Mak, Mang I Vai, R. P. Martins, Digital Microfluidic Chip with Blade Structures for Precise Droplet Splitting

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    paper W108f Jul-2016
  132. Biao Wang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A high resolution multi-bit incremental converter insensitive to DAC mismatch error

    Ph.D Research in Micro-electronics & Electronics (PRIME)

    Jun-2016
  133. Tianlan Chen, Jie Gao, Cheng Dong, Yanwei Jia, Pui In Mak, R. P. Martins, Digital Microfluidic System with Intelligent Control for Ultrafast DNA Analysis

    Oral Presentation at the 8th International Symposium on Microchemistry and Microsystems (ISMM)

    Hong Kong May-2016
  134. Chee-Cheow Lim, Harikrishnan Ramiah, Jun Yin, Pui In Mak, R. P. Martins, A High-Q Spiral Inductor with Dual-Layer Patterned Floating Shield in a Class-B VCO Achieving a 190.5-dBc/Hz FoM

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 2759-2762 May-2016
  135. Ka-Meng Lei, Hadi Heidari, Pui In Mak, Man-Kay Law, Franco Maloberti, R. P. Martins, A Handheld 50pM-Sensitivity Micro-NMR CMOS Platform with B-Field Stabilization for Multi-Type Biological/Chemical Assays

    IEEE International Solid-State Circuits Conference (ISSCC), Digest

    pp. 474-475 Feb-2016
  136. Jun Yin, Pui In Mak, Franco Maloberti, R. P. Martins, A 0.003mm2 1.7-to-3.5GHz Dual-Mode Time-Interleaved Ring-VCO Achieving 90-to-150kHz 1/f3 Phase Noise Corner

    IEEE International Solid-State Circuits Conference (ISSCC), Digest

    pp. 48-49 Feb-2016
  137. Gengzhen Qi, Pui In Mak, R. P. Martins, A 0.038mm2 SAW-less Multi-Band Transceiver Using an N-Path SC Gain Loop

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    pp. 452-453 Feb-2016
  138. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, “Student Research Preview,”

    IEEE International Solid-State Circuits Conference (ISSCC)

    Session 2, Paper No. 3 Feb-2016
  139. Wei-Han Yu, Xingqiang Peng, Pui In Mak, R. P. Martins, “Student Research Preview,”

    IEEE International Solid-State Circuits Conference (ISSCC)

    Session 3, Paper No. 2 Feb-2016
  140. Chio-In Ieong, Pui In Mak, Mang I Vai, R. P. Martins, Sub-µW QRS Detection Processor Using Quadratic Spline Wavelet Transform and Maxima Modulus Pair Recognition for Power-Efficient Wireless Arrhythmia Monitoring

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  141. Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Time-Domain I/Q-LOFT Compensator Using a Simple Envelope Detector for a Sub-GHz IEEE 802.11af WLAN Transmitte

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  142. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Sub-threshold VLSI Logic Family Exploiting Unbalanced Pull-up/down Network, Logical Effort and Inverse-Narrow-Width Techniques

    Asia and South Pacific Design Automation Conference (ASP-DAC)

    Jan-2016
  143. Jianwei Lui, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 89fJ-FOM 6-bit 3.4GS/s flash ADC with 4x time-domain interpolation

    IEEE Asian Solid-State Circuits Conference (A-SSCC), 2015

    pp.1-4 Nov-2015
  144. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Co-integration with a Digital Microfluidic Device Inside a Portable Magnet

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp. 1-4 Nov-2015
  145. Mo Huang, Yan Lu, Xiao-ming Xiong, Seng-Pan U, R. P. Martins, An All-Factor Modulation Bandwidth Extension Technique for Delta-Sigma PLL Transmitter

    IEEE Region 10 Conference (TENCON)

    pp. 1-4. Professional Award Nov-2015
  146. Wen-Ming Zheng, Chi-Seng Lam, Sai Weng Sin, Yan Lu, Man-Chung Wong, Seng-Pan U, R. P. Martins, Capacitive floating level shifter: Modeling and design

    IEEE Region 10 Conference (TENCON)

    Macau, China, pp. 1-6 Nov-2015
  147. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Thermal-Insensitive Palm-Size µNMR Relaxometer Using Magnetic Field Calibrator for Chemical/Biological Diagnostics

    International Conference on Miniaturized Systems for Chemistry and Life Sciences (MicroTAS)

    pp.302-304 Oct-2015
  148. Sanfeng Lu, Man-Kay Law, Pui In Mak, R. P. Martins, Multi-Range, Ultra-Low-Power, -20 to 60°C CMOS Smart Temperature Sensor with ±0.1°C Inaccuracy

    International Symposium on Signals, Circuits and Systems (ISSCS)

    pp. 1-4 Jul-2015
  149. Haojuan Dai, Yan Lu, Man-Kay Law, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Review and Design of the On-Chip Rectifiers for RF Energy Harvesting

    IEEE International Wireless Symposium (IWS)

    pp. 1-4 Mar-2015
  150. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 12b 180MS/s 0.068mm2 Full-Calibration Integrated Pipelined-SAR ADC

    International Solid State Circuits Conference (ISSCC)

    Student Research Previews Feb-2015
  151. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 5.5mW 6b 5GS/S 4×-lnterleaved 3b/cycle SAR ADC in 65nm CMOS

    Solid- State Circuits Conference - (ISSCC)

    (Pre-doctoral achievement awards),pp1-3 Feb-2015
  152. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.028mm2 11mW Single-Mixing Blocker-Tolerant Receiver with Double-RF N-Path Filtering, S11 Centering, +13dBm OB-IIP3 and 1.5-to-2.9dB NF

    IEEE International Solid-State Circuits Conference (ISSCC), Digest.

    Pre-doctoral achievement award, pp. 36-37 Feb-2015
  153. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A Multi-Step Multi-Sample µNMR Relaxometer Using Inside-Magnet Digital Microfluidics and a Butterfly-Coil-Input CMOS Transceiver

    IEEE International Solid-State Circuits Conference (ISSCC)

    SRP Session 2, Paper No. 1 Feb-2015
  154. Yan Lu, Junmin Jiang, Wing-Hung Ki, C. Patrick Yue, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors

    IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC)

    pp. 364-365 Feb-2015
  155. Suyan Fan, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.3-V 37.5-nW 1.5~6.5-Input-Range Supply Voltage Tolerant Capacitive Sensor Readout

    IEEE International Symposium on Integrated Circuits (ISIC)

    Dec-2014
  156. Diyang Zhao, Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, Co-Design of a Low-Noise Receiver Front-End and its Exciting-Sensing Coil for Portable NMR-Screening of Chemical/Biological Droplets

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2014
  157. Shiheng Yang, Pui In Mak, R. P. Martins, A 104μW EMI-Resisting Bandgap Voltage Reference Achieving –20dB PSRR, and 5% DC Shift under a 4dBm EMI Level

    IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS)

    Nov-2014
  158. Ze Wang, Chi Man Wong, Janir Nuno da Cruz, Feng Wan, Pui In Mak, Peng Un Mak, R. P. Martins, Muscle and Electrode Motion Artifacts Reduction in ECG Using Adaptive Fourier Decomposition

    IEEE International Conference on Systems, Man, and Cybernetics (SMC)

    pp.1456-1461 Oct-2014
  159. Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, An 11b 900 MS/s Time-Interleaved Sub-ranging Pipelined-SAR ADC

    IEEE European Solid-State Circuit Conference – (ESSCIRC)

    pp.211-214 Sep-2014
  160. Wei Wang, Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Micropower Two-Stage Amplifier Employing Recycling Current-Buffer Miller Compensation

    IEEE Int. Symp. on Circuits and Systems (ISCAS)

    pp. 1889-1892 Jun-2014
  161. Xingqiang Peng, Wei-Han Yu, Pui In Mak, R. P. Martins, A 26.3 dBm 2.5 to 6 GHz Wideband Class-D Switched-Capacitor Power Amplifier with 40% Peak PAE

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp. 1-2 Jun-2014
  162. Fujian Lin, Pui In Mak, R. P. Martins, An RF-to-BB current-reuse wideband receiver with parallel N-path active/passive mixers and a single-MOS pole-zero LPF

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp 74-75 Feb-2014
  163. Zhicheng Lin, Pui In Mak, R. P. Martins, A 0.5V 1.15mW 0.2mm2 Sub-GHz ZigBee receiver supporting 433/860/915/960MHz ISM bands with zero external components

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 164-165 Feb-2014
  164. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, Franco Maloberti, A 0.0013mm2 3.6μW Nested-Current-Mirror Single-Stage Amplifier Driving 0.15-to-15nF Capacitive Loads with >62° Phase Margin

    IEEE International Solid-State Circuits Conference (ISSCC)

    pp. 288-289 Feb-2014
  165. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Circuit Techniques for Switched-Capacitor Filters

    IEEE International Solid-State Circuits Conference (ISSCC)

    Feb-2014
  166. Yanwei Jia, Pui In Mak, Conner Massey, R. P. Martins, Lawrence J. Wangh, Dried-down Reagents on a Microfluidic Chip for LATE-PCR Amplification and Detection of Single-stranded DNA

    SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore

    Nov-2013
  167. Yan Zhu, Chi Hang Chan, Seng-Pan U, R. P. Martins, A 10.4-ENOB 120MS/s SAR ADC with DAC Linearity Calibration in 90nm CMOS

    IEEE Asian Solid-State Circuit Conference – (A-SSCC)

    pp 69-72 Nov-2013
  168. Li Ding, WenLan Wu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 13-bit 60M Split Pipelined ADC with Background Gain and Mismatch Error Calibration

    IEEE Asian Solid-State Circuit Conference – (A-SSCC),

    pp 77-80 Nov-2013
  169. Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.127-mm2, 5.6-mW, 5th-Order SC LPF with +23.5-dBm IIP3 and 1.5-to-15-MHz Clock-Defined Bandwidth in 65-nm CMOS

    IEEE Asian Solid-State Circuits Conference (A-SSCC)

    pp 361-364 Nov-2013
  170. Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, An Intelligent Digital Microfluidics with Autonomous Positioning and Fuzzy-Enhanced Feedback Control

    SELECTBIO Lab-on-a-Chip Asia, Track A Poster Session, Singapore

    Nov-2013
  171. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Background Gain-Calibration Technique for Low Voltage Pipelined ADCs Based on Nonlinear Interpolation

    IEEE Int. Midwest Symposium on Circuits and Systems (MWSCAS)

    pp 665-668 Aug-2013
  172. Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, R. P. Martins, Sub-threshold Standard Cell Library Design for Ultra-Low Power Biomedical Applications

    ", International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)

    pp 1454-1457 Jul-2013
  173. Chio-In Ieong, Mingzhong Li, Man-Kay Law, Pui In Mak, Mang I Vai, Peng Un Mak, Feng Wan, R. P. Martins, Standard cell library design with voltage scaling and transistor sizing for ultra-low-power biomedical applications

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) Jun-2013
  174. Tao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, An Ultra-Low Power CMOS Smart Temperature Sensor for Clinical Temperature Monitoring

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp 1-2 Jun-2013
  175. Zhiyuan Chen, Man-Kay Law, Pui In Mak, R. P. Martins, Optimization of Microwatt On-Chip Charge Pump for Single-Chip Solar Energy Harvesting

    IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

    pp 1-2 Jun-2013
  176. Yan Du, Tao He, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Continuous-Time VCO-Assisted VCO-Based Sigma Delta Modulator with 76.6dB SNDR and 10MHz BW

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 373-376 May-2013
  177. Jiangchao Wu, Man-Kay Law, Pui In Mak, R. P. Martins, A 1.83μW, 0.78μVrms Input Referred Noise Neural Recording Front End

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 405-408 May-2013
  178. Md. Tawfiq Amin, Pui In Mak, R. P. Martins, A 0.5V 10GHz 8-Phase LC-VCO Combining Current-Reuse and Back-Gate-Coupling Techniques Consuming 2mW

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 2698 - 2701 May-2013
  179. WenLan Wu, Yan Zhu, U-Fat Chio, Li Ding, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 0.6V 8B 100MS/s SAR ADC with Minimized DAC Capacitance and Switching Energy in 65nm CMOS

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 2239-2242 May-2013
  180. Yun Du, Tao He, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Continuous-Time VCO-Assisted VCO-Based ΣΔ Modulator with 76.6dB SNDR and 10MHz BW

    in IEEE International Symposium on Circuits and Systems (ISCAS)

    May-2013
  181. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, 0.0064mm2 12.6µW Three-Stage Amplifier with 1.38MHz GBW at 1nF Capacitive Load

    International Solid-State Circuits Conference, ISSCC 2013 (SRP)

    Feb-2013
  182. Yinsidi Jiao, Wei-Han Yu, Pui In Mak, R. P. Martins, A Dynamic-Range-Improved 2.4GHz WLAN Class-E PA Combining PWPM and Cascode Modulation

    IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS)

    pp 148-15 Dec-2012
  183. Yun Du, Tao He, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Robust NTF Zero Optimization Technique for Both Low and High OSRs Sigma-Delta Modulators

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    pp 29-32 Dec-2012
  184. Tao He, Yun Du, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A DT 0-2 MASH Modulator with VCO-Based Quantizer for Enhanced Linearity

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    pp 33-36 Dec-2012
  185. WenLan Wu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 10-bit SAR ADC With Two Redundant Decisions and Splitted-MSB-Cap DAC Array

    IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    pp 268-271 Dec-2012
  186. Zhicheng Lin, Pui In Mak, R. P. Martins, A 1.7mW 0.22mm2 2.4GHz ZigBee RX Exploiting a Current-Reuse Blixer + Hybrid Filter Topology in 65nm CMOS

    International Solid-State Circuits Conference, ISSCC 2013

    pp 448-449 Dec-2012
  187. Yun Du, Tao He, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A robust NTF Zero Optimization Technique for both Low and High OSRs Sigma-Delta Modulators

    in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Dec-2012
  188. Tao He, Yun Du, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A DT 0–2 MASH ΣΔ Modulator with VCO-Based Quantizer for Enhanced Linearity

    in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

    Dec-2012
  189. Yanjie Xiao, Tan-Tan Zhang, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.8-μW 8-Bit 1.5~20-pF-Input-Range Capacitance-to-Digital Converter for Lab-on-Chip Digital Microfluidics Systems

    IEEE Biomedical Circuits and Systems Conference (BIOCAS)

    pp 384-387 Nov-2012
  190. Zhijie Chen, Yang Jiang, ChenYan Cai, He Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A 22.4μW 80dB SNDR ΣΔ Modulator with Passive Analog Adder and SAR Quantizer for EMG Application

    IEEE Asian Solid-State Circuit Conference – (A-SSCC)

    pp 257-260 Nov-2012
  191. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Inter-Stage Gain Error Self-Calibration of a 31.5fJ 10b 470MS/s Pipelined-SAR ADC

    IEEE Asian Solid-State Circuit Conference – (A-SSCC)

    pp 153-156 Nov-2012
  192. Zhijie Chen, JIANG Yang, Chenyan Cai, He-Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A 22.4μW 80dB SNDR ΣΔ Modulator with Passive Analog Adder and SAR Quantizer for EMG Application

    in IEEE Asian Solid State Circuits Conference (A-SSCC)

    Nov-2012
  193. Guohe Yin, He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A 0.024mm2 4.9 fJ 10-Bit 2MS/s SAR ADC in 65 nm CMOS

    IEEE European Solid-State Circuits Conference – ESSCIRC 2012

    pp 377-380 Sep-2012
  194. Rui Wang, U-Fat Chio, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A 12-Bit 110MS/S 4-Stage Single-Opamp Pipelined SAR ADC with Ratio-Based GEC Technique

    IEEE European Solid-State Circuits Conference – ESSCIRC 2012

    pp 265-268 Sep-2012
  195. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, An ELD Tracking Compensation Technique for Active-RC CT ΣΔ Modulators

    IEEE Int. Midwest Symposium on Circuits and Systems (MWSCAS) 2012

    pp 1096-1099 Aug-2012
  196. Si-Seng Wong, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 2.3mW 10-bit 170MS/s Two-Step Binary-Search Assisted Time-Interleaved SAR ADC

    IEEE Custom Integrated Circuits Conference – CICC 2012

    pp 1-4 Aug-2012
  197. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, An ELD Tracking Compensation Technique for Active-RC CT ΣΔ Modulators

    in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    Aug-2012
  198. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 34fJ 10b 500 MS/s Partial-Interleaving Pipelined SAR ADC

    2012 Symposium on VLSI Circuits Digest of Technical Papers

    pp 90-91 Jun-2012
  199. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 3.8mW 8b 1GS/s 2b/cycle Interleaving SAR ADC with Compact DAC Structure

    2012 Symposium on VLSI Circuits Digest of Technical Papers

    pp 86-87 Jun-2012
  200. Miguel A. Martins, Pui In Mak, R. P. Martins, A 0.02-to-6GHz SDR Balun-LNA Using a Triple-Stage Inverter-Based Amplifier

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp 472-475 May-2012
  201. Tao He, Yang Jiang, Yun Du, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 10MHz BW 78dB DR CT ΣΔ Modulator with Novel Switched High Linearity VCO-Based Quantizer

    IEEE Int. Symposium on Circuits and Systems (ISCAS)

    pp 65-69 May-2012
  202. Tao He, JIANG Yang, Yun Du, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 10MHz BW 78dB DR CT ΣΔ Modulator with Novel Switched High Linearity VCO-Based Quantizer

    in IEEE International Symposium on Circuits and Systems (ISCAS)

    May-2012
  203. Jie Gao, Tianlan Chen, Pui In Mak, Mang I Vai, R. P. Martins, A Digital Microfluidic System with Low Voltage Threshold and Control Module for Droplet Manipulation

    The 3rd International (West Lake) Forum on Microfluidic Analysis (IFMA)

    Apr-2012
  204. Zushu Yan, Pui In Mak, Man-Kay Law, R. P. Martins, A 0.016mm2 144µW Three-Stage Amplifier Capable of Driving 1-to-15nF Capacitive Load with >0.95MHz GBW

    Digest of Technical Papers from IEEE International Solid-State Circuits Conference (ISSCC 2012, "World Chip Olympic")

    pp 368-369 Feb-2012
  205. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, A 35 fJ 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self-Embedded Offset Cancellation

    Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic")

    pp. 61-64 Best Student Design Contest Award Nov-2011
  206. Si-Seng Wong, U-Fat Chio, He Gong Wei, Chi Hang Chan, Hou-Lon Choi, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 4.8-bit ENOB 5-bit 500MS/s binary-search ADC with minimized number of comparators

    Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic")

    pp. 73-76 Nov-2011
  207. Chi Hang Chan, Yan Zhu, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, A reconfigurable low-noise dynamic comparator with offset calibration in 90nm CMOS

    Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic")

    pp. 233-236 Nov-2011
  208. Seng-Pan U, Sai Weng Sin, Yan Zhu, U-Fat Chio, He Gong Wei, R. P. Martins, Design Techniques for Nanometer Wideband Power-Efficient CMOS ADCs

    Proc. of IEEE International Symposium on Radio-Frequency Integration Technology – RFIT’2011

    pp. 173-176 Nov-2011
  209. Arshad Hussain, Sai Weng Sin, Seng-Pan U, R. P. Martins, Hybrid Loopfilter Sigma-Delta Modulator With NTF Zero Compensation

    International SoC Design Conference – ISOCC

    pp. 76-79 Nov-2011
  210. Miao Liu, Pui In Mak, Yaohua Zhao, R. P. Martins, A Double Active-Decoupling Technique for Reducing Package Effects in a Cognitive-Radio Balun-LNA

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 131-134 Oct-2011
  211. Bo Sun, U-Fat Chio, Chi-Seng Lam, Ning-Yi Dai, Man-Chung Wong, Chi-Kong Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, A FPGA-Based Power Electronics Controller for Hybrid Active Power Filters

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    Macao, China, pp. 25-28 Oct-2011
  212. Yuan Fei, Sai Weng Sin, Seng-Pan U, R. P. Martins, A nonlinearity digital background calibration algorithm for 2.5bit/stage pipelined ADCs with opamp sharing architecture

    Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimaAsia)

    pp. 1-4 Oct-2011
  213. U-Wai Lok, Pui In Mak, Wei-Han Yu, R. P. Martins, A Novel Digital Pre-distortion Technique for Class-E PA with Delay Mismatch Estimation

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 119-122 Oct-2011
  214. Rui Wang, U-Fat Chio, Chi Hang Chan, Li Ding, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A time-efficient dither-injection scheme for pipelined SAR ADC

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 9-12 Oct-2011
  215. Arshad Hussain, Sai Weng Sin, Seng-Pan U, R. P. Martins, NTF Zero Compensation Technique For Passive Sigma-Delta Modulator

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 82-85 Oct-2011
  216. U-Fat Chio, Chi Hang Chan, Hou-Lon Choi, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 7-bit 300-MS/s Subranging ADC with Embedded Threshold & Gain-Loss Calibration

    ", IEEE European Solid-State Circuits Conference – ESSCIRC 2011

    pp. 363-366 Sep-2011
  217. Tan-Tan Zhang, Pui In Mak, Mang I Vai, Peng Un Mak, Feng Wan, R. P. Martins, An Ultra-Low-Power Filtering Technique for Biomedical Applications

    Engineering in Medicine and Biology Society (EMBC), 2010 Annual International Conference of the IEEE

    pp. 1859-1862 Sep-2011
  218. JIANG Yang, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, Clock-Jitter Sensitivity Reduction in CT Sigma-Delta Modulators Using Voltage-Crossing Detection DAC

    IEEE Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  219. Zhijie Chen, Peng Zhang, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Zhihua Wang, Noise Shaping Implementation in Two-Step/SAR ADC Architectures Based on Delayed Quantization Error

    IEEE Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  220. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Passive Excess-Loop-Delay Compensation Technique for Gm-C Based Continuous-Time Sigma-Delta Modulators

    IEEE Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  221. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Multi-Merged-Switched Redundant Capacitive DACs for 2b/cycle SAR ADC

    IEEE Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  222. Tao He, Yun Du, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Dual-VCO-Based Quantizer with Highly Improved Linearity and Enlarged Dynamic Range

    IEEE International Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  223. Peng Zhang, Zhijie Chen, He Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, A Charge Pump Based Timing-Skew Calibration for Time-Interleaved ADC

    ", IEEE Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 1-4 Aug-2011
  224. Tao He, Yun Du, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Dual-VCO-Based Quantizer with Highly Improved Linearity and Enlarged Dynamic Range

    in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    Aug-2011
  225. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Passive Excess-Loop-Delay Compensation Technique for Gm-C Based Continuous-Time ΣΔ Modulators

    in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    Aug-2011
  226. Bo Sun, Ning-Yi Dai, U-Fat Chio, Man-Chung Wong, Chi-Kong Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, FPGA-based Decoupled Double Synchronous Reference Frame PLL for Active Power Filters

    2011 6th IEEE Conference on Industrial Electronics and Applications (ICIEA)

    pp. 2145 – 2150 Jun-2011
  227. Miao Liu, Pui In Mak, Zushu Yan, R. P. Martins, A High-Voltage-Enabled Recycling Folded Cascode OpAmp for Nanoscale CMOS Technologies

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 33-36 May-2011
  228. Miguel A. Martins, Pui In Mak, R. P. Martins, A Single-to-Differential LNA Topology with Robust Output Gain-Phase Balancing against Balun Imbalance

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 289-292 May-2011
  229. Miguel A. Martins, Pui In Mak, R. P. Martins, A Single-to-Differential Low-Noise Amplifier with Robust Output Differential Balancing

    IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 289-292 May-2011
  230. Weng-Fai Cheng, Ka-Fai Un, Pui In Mak, R. P. Martins, A highly-linear ultra-wideband balun-LNA for cognitive radios

    IEEE International Conference on Computer as a Tool and Conference on Telecommunications (EUROCON)

    pp. 1-4 Apr-2011
  231. Pui In Mak, R. P. Martins, A 0.46mm2 4-dB NF Unified Receiver Front-End for Full-Band Mobile TV in 65nm CMOS

    ", IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers

    pp 172-174 Feb-2011
  232. He Gong Wei, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, A 0.024mm2 8b 400MS/s SAR ADC with 2b/cycle and resistive DAC in 65nm CMOS

    IEEE International Solid-State Circuit Conference (ISSCC),

    pp. 188-189 Feb-2011
  233. Yang Jiang, Kim Fai Wong, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Reduced Jitter-Sensitivity Clock Generation Technique for Continuous-Time ΣΔ Modulators

    IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 1011-1014 Dec-2010
  234. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, An Efficient DAC and Interstage Gain Error Calibration Technique For Multi-Bit Pipelined ADCs

    IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 208-211 Dec-2010
  235. Guohe Yin, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Zhihua Wang, An Ultra Low Power 9-bit 1-MS/s Pipelined SAR ADC for Bio-medical Applications

    IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    pp. 878-881 Dec-2010
  236. Yang Jiang, Kim Fai Wong, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Fixed-Pulse Shape Feedback Technique with Reduced Clock-Jitter Sensitivity in Continuous-Time Sigma-Delta Modulators

    IEEE International Conference on Electronics, Circuits and Systems (ICECS

    pp. 547-550 Dec-2010
  237. JIANG Yang, Kim Fai Wong, Chenyan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Fixed-Pulse Shape Feedback Technique with Reduced Clock-Jitter Sensitivity in Continuous-Time Sigma-Delta Modulators

    in IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    pp.547-550 Dec-2010
  238. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Process-insensitive Current-Controlled Delay Generator with Threshold Voltage Compensation

    IEEE Asian Solid-State Circuits Conference – ASSCC 2010

    pp. 1-4 Nov-2010
  239. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A Novel Response-Translating Lowpass Filter Achieving 1.4-to-15-Hz Tunable Cutoff for Biopotential Acquisition Systems

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 404-407 Sep-2010
  240. Tan-Tan Zhang, Jin-Tao Li, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 28-µW EEG Readout Front-End Utilizing a Current-Mode Instrumentation Amplifier and a Source-Follower-Based LPF

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 396-399 Sep-2010
  241. Yuan Fei, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Digital Background Nonlinearity Calibration Algorithm for Pipelined ADCs

    IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia)

    pp. 115-118 Sep-2010
  242. Sai Weng Sin, Li Ding, Yan Zhu, He Gong Wei, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, An 11b 60MS/S 2.1mW Two-Step Time-Interleaved SAR-ADC with Reused S&H

    in Proc. IEEE European Solid-State Circuits Conference – ESSCIRC 2010

    pp. 218-221 Sep-2010
  243. Si-Seng Wong, U-Fat Chio, Hou-Lon Choi, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Power Effective 5-bit 600 MS/s Binary-Search ADC with Simplified Switching

    in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010

    pp. 29-32 Aug-2010
  244. Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Threshold-Embedded Offset Calibration Technique for Inverter-Based Flash ADCs

    in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010

    pp. 489-492 Aug-2010
  245. Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, Level-Shifting Variable Current Charging Technique for High-Speed Comparator-Based Switched-Capacitor Circuits

    IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010

    pp. 566-569 Aug-2010
  246. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Parasitics Nonlinearity Cancellation Technique for Split DAC Architecture by Using Capacitive Charge-Pump

    IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010

    pp. 889-892 Aug-2010
  247. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Background Amplifier Offset Calibration Technique for High-Resolution Pipelined ADC

    IEEE International NEWCAS Conference – NEWCAS 2010

    pp. 41-44 Jun-2010
  248. Miguel A. Martins, Ka-Fai Un, Pui In Mak, R. P. Martins, SC Biquad Filter with Hybrid Utilization of OpAmp and Comparator-Based Circuit

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2010

    pp. 1276-1279 Jun-2010
  249. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Voltage Feedback Charge Compensation Technique for Split DAC Architecture in SAR ADCs

    IEEE International Symposium on Circuits and Systems – ISCAS 2010

    pp. 607-611 May-2010
  250. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Noise-Insensitive Offset Calibration Technique for Time Interleaved SAR ADC

    Proc. IEEE International Symposium on Circuits and Systems – LASCAS 2010

    Feb-2010
  251. Chi Hang Chan, Yan Zhu, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Voltage-Controlled Capacitance Offset Calibration Technique for High Resolution Dynamic Comparator

    in Proc. of 2009 International SoC Design Conference (ISOCC)

    pp. 392-395 Nov-2009
  252. Cheok-Teng Lei, Seng-Pan U, R. P. Martins, High-Speed Robust Level Converter for Ultra-Low Power 0.6-V LSIs to 3.3-V I/O

    in Proc. of 2009 International SoC Design Conference (ISOCC)

    pp. 396-399 Nov-2009
  253. Sai Weng Sin, He Gong Wei, U-Fat Chio, Yan Zhu, Seng-Pan U, R. P. Martins, Franco Maloberti, On-Chip Small Capacitor Mismatches Measurement Technique using Beta-Multiplier-Biased Ring Oscillator

    in Proc. of 2009 IEEE Asian Solid-State Circuit Conference (A-SSCC)

    pp. 49-52 Nov-2009
  254. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Si-Seng Wong, Parasitic Calibration by Two-Step Ratio Approaching Techinque for Split Capacitor Array SAR ADCs

    in Proc. of 2009 International SoC Design Conference (ISOCC)

    pp. 333-336 Nov-2009
  255. Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Modified Charging Algorithm for Comparator-Based Switched-Capacitor Circuits

    in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS

    pp. 86-89 Aug-2009
  256. Pui In Mak, R. P. Martins, 2×VDD–Enabled TV-Tuner RF Front-End Supporting TV-GSM Interoperation in 90nm CMOS

    IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers

    pp. 278-279 Jun-2009
  257. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 90nm CMOS Bio-Potential Signals Readout Front-End Utilizing a Novel Chopper Notch Filter for Powerline Interference Rejection

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2009

    pp. 665-668 May-2009
  258. Ka-Fai Un, Pui In Mak, R. P. Martins, An open-loop octave-phase local-oscillator generator with high-precision correlated phases for VHF/UHF mobile-TV tuners

    Proc. IEEE International Symposium on Circuits and Systems – ISCAS 2009

    pp. 433-436 May-2009
  259. Changhao Chen, Pui In Mak, Tan-Tan Zhang, Mang I Vai, Peng Un Mak, Sio Hang Pun, Feng Wan, R. P. Martins, A 2.4 Hz-to-10 kHz-Tunable Biopotential Filter Using a Novel Capacitor Multiplier

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 372-375 Jan-2009
  260. Keng-Wai Lo, Pui In Mak, R. P. Martins, An Active-Balun LNA with Forestage-Poststage Gain Controls for VHF/UHF Mobile-TV Tuners

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 165-168 Jan-2009
  261. U-Fat Chio, Hou-Lon Choi, Chi Hang Chan, Si-Seng Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, Comparator-Based Successive Folding ADC

    IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia)

    pp. 117-120 Jan-2009
  262. Kim Fai Wong, Ka Ian Lei, Seng-Pan U, R. P. Martins, 1-V 90dB DR Audio Stereo DAC with Embedding Headphone Driver

    IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp1160-1163 Dec-2008
  263. Ka-Fai Un, Pui In Mak, R. P. Martins, A DC-Offset-Compensated, CT/DT Hybrid Filter with Process-Insensitive Cutoff and Low In-Band Group-Delay Variation for WLAN Receivers

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 1360-1363 Dec-2008
  264. He Gong Wei, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Process- and Temperature- Insensitive Current-Controlled Delay Generator for Sampled-Data Systems

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 1192-1195 Dec-2008
  265. Li Ding, Sio Chan, Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Pseudo-Differential Comparator-Based Pipelined ADC with Common Mode Feedforward Technique

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 276-279 Dec-2008
  266. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Self-Timing Switch-Driving Register by Precharge-Evaluate Logic for High-Speed SAR ADCs

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 1164-1167 Dec-2008
  267. Ngai Kong, Seng-Pan U, R. P. Martins, Novel CMOS Switched-Current Mode Sequential Shift Forward Inference Circuit for Fuzzy Logic Controller

    in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 396-399 Dec-2008
  268. Yan Zhu, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Power-Efficient Capacitor Structure for High-Speed Charge Recycling SAR ADCs

    in Proceedings of IEEE International Conference on Electronics, Circuits, and Systems - ICECS 2008

    pp. 642-645 Sep-2008
  269. He Gong Wei, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Power Scalable 6-bit 1.2GS/s Flash ADC with Power on/off Track-and-Hold and Preamplifier

    ", in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 5-8 Aug-2008
  270. Yan Zhu, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Linearity Analysis on a Series-Split Capacitor Array for High-Speed SAR ADCs

    in Proceedings of IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2008

    pp. 922-925 Aug-2008
  271. Chon-Teng Ma, Pui In Mak, Mang I Vai, Peng Un Mak, Sio Hang Pun, R. P. Martins, Design of a Low-Power Low-Noise Bio-Potential Readout Front-End in CMOS

    in Proc. of Regional Inter-University Graduate Conference on Electrical Engineering (RIUGCEE)

    Jul-2008
  272. Pui In Mak, Ka Hou Ao Ieong, R. P. Martins, An Open-Source-Input, Ultra- Wideband LNA with Mixed-Voltage ESD Protection for Full-Band (170-to-1700 MHz) Mobile TV Tuners

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 668-671 May-2008
  273. He Gong Wei, Chon-Kit Lai, Seng-Pan U, R. P. Martins, A 100MS/s Recycling 2-Step ADC Embedding Programmable Gain Amplification for DVB Satellite

    the 50th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    pp. 132-135 Aug-2007
  274. Ngai Kong, Seng-Pan U, R. P. Martins, A Novel Reconfigurable Membership Function Circuit for Analog Fuzzy Logic Controller

    Proceedings of 20th China Symposium on Circuits and Systems – CSCAS 2007

    pp. 163-168 Jun-2007
  275. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, A Highly-Linear Successive-Approximation Front-End Digitizer with Built-in Sample-and-Hold Function for Pipeline/Two-Step ADC

    in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1947-1950 May-2007
  276. Ka Hou Ao Ieong, Seng-Pan U, R. P. Martins, A 1-V 2.5-mW Transient-Improved Current-Steering DAC using Charge-Removal-Replacement Technique

    IEEE Asia Pacific Conference on Circuit and Systems (APCCAS)

    pp. 183-186 Dec-2006
  277. Jun-Xia Ma, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 1.8V 1.056GS/s 6-b Flash-Interpolation ADC for MB-OFDM UWB Applications

    Proceedings of RIUPEEEC (Macao, China)

    pp. 105-108 Jul-2006
  278. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, A Novel Architecture of Comparator-Mismatch-Free Multi-bit Pipeline ADC

    Proceedings of the Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC), Session of Circuit and System

    pp. 129-132 Jul-2006
  279. Chon-In Lao, Seng-Pan U, R. P. Martins, An Expandable and Extendable High-Order Semi-MASH Sigma Delta Modulator

    Proc. IEEE/IEE Regional Inter-University Post-graduate EEE Conference – RIUPEEEC 2006

    pp. 68-73 Jul-2006
  280. Ka Hou Ao Ieong, Seng-Pan U, R. P. Martins, Design of a 1-V 10-bit 120MS/s Current-Steering DAC with Transient-Improved Technique

    Proc. IEEE/IEE Regional Inter-University Post-graduate EEE Conference – RIUPEEEC 2006

    pp. 137-140 Jul-2006
  281. Sai Weng Sin, Seng-Pan U, R. P. Martins, Novel Timing-Skew-Insensitive, Multi-phase Clock Generation Scheme for Parallel DAC and N-Path Filter

    Proc. IEEE/IEE Regional Inter-University Post-graduate EEE Conference – RIUPEEEC 2006

    pp. 133-136 Jul-2006
  282. Pui In Mak, Seng-Pan U, R. P. Martins, A 1V 14mW-per-Channel Flexible-IF CMOS Analog-Baseband IC for 802.11a/b/g Receivers

    in IEEE Symposium on VLSI Circuits (VLSI), Digest of Technical Papers

    pp. 288-289 Jun-2006
  283. Sai Weng Sin, Seng-Pan U, R. P. Martins, A Novel Low-Voltage Finite-Gain Compensation Technique for High-Speed Reset- and Switched-Opamp Circuits

    in Proc. of 2004 IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 3794-3797 May-2006
  284. Kin-Sang Chio, Seng-Pan U, R. P. Martins, A Dual-Mode Low-Distortion Sigma-Delta Modulator with Relaxing Quantization Level

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 1892-1895 May-2006
  285. Chon-In Lao, Seng-Pan U, R. P. Martins, A Novel Effective Bandpass Semi-MASH Sigma-Delta Modulator with Double-Sampling Mismatch-Free Resonator

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 581-584 May-2006
  286. Jun-Xia Ma, Sai Weng Sin, Seng-Pan U, R. P. Martins, A Power-Efficient 1.056 GS/s Resolution-Switchable 5-bit/6-bit Flash ADC for UWB Applications

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 4305-4308 May-2006
  287. Pui In Mak, Seng-Pan U, R. P. Martins, Design and Test Strategy underlying a Low-Voltage Analog-Baseband IC for 802.11a/b/g WLAN SiP Receivers

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 2473-2479 May-2006
  288. Pui In Mak, Seng-Pan U, R. P. Martins, A 1-V transient-free and DC-offset-canceled PGA with a 17.1-MHz constant bandwidth over 52-dB control range in 0.35-/spl mu/m CMOS

    in Proc. of IEEE Custom Integrated Circuits Conference (CICC)

    pp. 649-652 Sep-2005
  289. Pui In Mak, Seng-Pan U, R. P. Martins, A 1V IEEE 802.11a/b/g-Compliant Receiver IF-to-Baseband Chip in 0.35µm CMOS for Low-Cost Wireless SiP

    52nd Edition International Solid-State Circuits Conference – ISSCC 2005, San Francisco, USA, February 2005, and 42nd Edition Design Automation Conference – DAC 2005, Anaheim, California, USA, June 2005

    Student Design Contest – Second Place, Conceptual Category" – Referred in IEEE Solid-State Circuits Society Newsletter, Vol.10, No.3, pp.7-8 Sep-2005
  290. Pui In Mak, Seng-Pan U, R. P. Martins, Multistandard-Compliant Receiver Architecture with low-voltage Implementation

    in Proc. of Ph.D. Research In Micro-Electronics & Electronics (PRIME)

    pp. 223-226 Jul-2005
  291. Sai Weng Sin, Seng-Pan U, R. P. Martins, Novel low-voltage circuit techniques for fully-differential reset- and switched-opamps

    in Proc. of Ph.D. Research In Micro-Electronics & Electronics (PRIME)

    pp. 398-401 Jul-2005
  292. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, On-Chip Unsteady Reference Voltage Compensation Techniques for Very-High-Speed Pipelined ADC

    in Proc. of Regional Inter-University Postgraduate Electrical and Electronic Engineering Conference (RIUPEEEC)

    pp. 276-280 Jun-2005
  293. Sai Weng Sin, Seng-Pan U, R. P. Martins, A Novel Low-Voltage Cross-Coupled Passive Sampling Branch for Reset- and Switched-Opamp Circuits

    in Proc.of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 2, pp. 1585-1588 May-2005
  294. Ka Hou Ao Ieong, Chong-Yin Fok, Pui In Mak, Seng-Pan U, R. P. Martins, A Frequency Up-Conversion and Two-Step Channel Selection Embedded CMOS D/A Interface

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 392-395 May-2005
  295. Chon-In Lao, Seng-Pan U, R. P. Martins, A Novel Semi-MASH Sub-stage for High-order Cascade Sigma-Delta Modulators

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 4, pp. 3095-3098 May-2005
  296. Sai Weng Sin, Seng-Pan U, R. P. Martins, A Novel Very Low-Voltage SC-CMFB Technique for Fully-Differential Reset-Opamp Circuits

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 2, pp. 1581-1584 May-2005
  297. Kin-Sang Chio, Seng-Pan U, R. P. Martins, A robust 3rd order low-distortion multi-bit sigma-delta modulator with reduced number of op-amps for WCDMA

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    pp. 3099-3102 May-2005
  298. Kai-Yiu Che, Hon-Weng Chong, Seng-Pan U, R. P. Martins, A 1-V 5.12-MHz Sampling-Rate 13-bit CMOS Sigma-Delta Modulator Using Reset-Opamp Technique for Portable Aduio Data Acquistion System

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 186-191 Oct-2004
  299. Hon-Weng Chong, Kai-Yiu Che, Seng-Pan U, R. P. Martins, A 1-V 2.56-MHz Clock-Rate CMOS Multi-bit Sigma-Delta Modulator with Reset-Opamp Technique and Pseudo Data-Weighted-Averaging for Portable Audio Data Acquisition System

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 180-185 Oct-2004
  300. Pui In Mak, Ka Hou Ao Ieong, Chong-Yin Fok, Seng-Pan U, R. P. Martins, A Complex Low-IF Transceiver Architecture for Relaxing Phase Noise and Settling Time Requirements of RF PLL-FS

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 221-226 Oct-2004
  301. Ka Hou Ao Ieong, Chong-Yin Fok, Seng-Pan U, R. P. Martins, A Multistandard Transmitter D/A Interface with Embedded Frequency Up-Conversion and Two-Step Channel Selection

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 215-220 Oct-2004
  302. Pui In Mak, Seng-Pan U, R. P. Martins, A Power-and-Area Efficient, Multifunctional CMOS A/D Interface for a Low-IF/Zero-IF Reconfigurable Receiver

    ", in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 233-238 Oct-2004
  303. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Model, Characterization and Solutions of Unstable Reference Voltage for Very-High-Speed Pipelined A/D Converters

    in Proc. of IEEJ (7th) International Analog VLSI Workshop (AVLSIWS)

    pp. 138-143 Oct-2004
  304. Sai Weng Sin, Seng-Pan U, R. P. Martins, Novel Low Jitter Multi-Phase Clock Generation Scheme for Parallel Analog-to-Digital Conversion Systems

    Proc. IEEE/IEEJapan International Analog VLSI Workshop – AVLSIWS 2004

    pp. 172-175 Oct-2004
  305. Ngai Kong, Seng-Pan U, R. P. Martins, A Novel Current-Mode Reconfigurable Membership Function Circuit for Mixed-Signal Fuzzy Hardware

    Proc. IEEE/IEE Regional Inter-University Post-graduate EEE Conference – RIUPEEEC 2006

    pp. 101-104 Jul-2004
  306. Weng Ieng Mok, Pui In Mak, Seng-Pan U, R. P. Martins, Modeling of Noise Sources in Reference Voltage Generator for Very-High-Speed Pipelined ADC

    in Proc. of the 47th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    vol. 1, pp. 5-8 Jul-2004
  307. Kin-Sang Chio, Seng-Pan U, R. P. Martins, A Novel Low-Voltage 2nd-Order Sigma-Delta Modulator with Double-Sampling for GSM/DECT/WCDMA

    in Proc. of International Conference on Communications, Circuits and Systems (ICCCAS)

    vol. 2, pp. 1146-1150 Jun-2004
  308. Sai Weng Sin, Seng-Pan U, R. P. Martins, A Generalized Timing-Skew-Free, Multi-Phase Clock Generation Platform for Parallel Sampled-Data Systems

    in Proc. of 2004 IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. I-369 – I-372 May-2004
  309. Pui In Mak, Seng-Pan U, R. P. Martins, A Low-IF/Zero-IF Reconfigurable Receiver with Two-Step Channel Selection Technique for Multistandard Applications

    ", in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 4, pp. 417-420 May-2004
  310. Pui In Mak, Kin-Kwan Ma, Weng Ieng Mok, Chi-Sam Sou, Kit-Man Ho, Cheng-Man Ng, Seng-Pan U, R. P. Martins, An I/Q-Multiplexed and OTA-Shared CMOS Pipelined ADC with an A-DQS S/H Front-End for Two-Step-Channel-Select Low-IF Receiver

    in Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 1068-1071 May-2004
  311. Pui In Mak, Seng-Pan U, R. P. Martins, A Front-to-Back-End Modeling of I/Q Mismatch Effects in a Complex-IF Receiver for Image-Rejection Enhancement

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    pp. 631-634 Dec-2003
  312. Pui In Mak, Chi-Sam Sou, Seng-Pan U, R. P. Martins, Frequency-Downconversion and IF Channel Selection A-DQS Sample-and-Hold Pair for Two-Step-Channel-Select Low-IF Receiver

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    vol. 2, pp. 479-482 Dec-2003
  313. Pui In Mak, Seng-Pan U, R. P. Martins, A Programmable Switched-Capacitor A-DQS Frequency Downconverter for Two-Step Channel Selection Wireless Receiver

    in Proc.of International Conference on ASIC (ASICON)

    vol. 1, pp. 573-576 Oct-2003
  314. Chon-In Lao, Seng-Pan U, R. P. Martins, Bandpass Sigma-Delta Modulator SIMULINK® Non-Idealities Model with Behavior Simulation

    Proc. International Conference on ASIC – ASICON 2003

    pp. 203-206 Oct-2003
  315. Pui In Mak, Weng Ieng Mok, Seng-Pan U, R. P. Martins, I/Q Imbalance Modeling of Quadrature Transceiver Analog Front-Ends in SIMULINK

    in Proc. of IEEE International Conference on Vehicular Technology

    vol. 4, pp. 2371-2374 Oct-2003
  316. Chon-In Lao, Ho-Ieng Ieong, Kuai-Fok Au, Kuok Hang Mok, Seng-Pan U, R. P. Martins, A 10.7-MHz Bandpass Sigma-Delta Modulator using Double-Delay Single-Opamp SC Resonator with Double-Sampling

    in Proc. of IEEE International Symposium on Circuits and Systems 2003 (ISCAS)

    vol. 1, pp. 1061-1064, May-2003
  317. Seng-Pan U, Sai Weng Sin, R. P. Martins, Spectra Analysis of Nonuniformly Holding Signals for Time-Interleaved Systems with Timing Mismatches

    in Proc. of IEEE Instrumentation and Measurement Technology Conference (IMTC)

    vol. 2, pp. 1298-1301 May-2003
  318. Sai Weng Sin, Seng-Pan U, R. P. Martins, Timing-Mismatch Analysis in High-Speed Analog Front-End with Nonuniformly Holding Output

    in Proc. of IEEE International Symposium on Circuits and Systems 2003 (ISCAS)

    vol. 1, pp. I-129 – I-132 May-2003
  319. Pui In Mak, Seng-Pan U, R. P. Martins, A Novel IF Channel Selection Technique by Analog-Double quadrature Sampling for Complex Low-IF Receivers

    in Proc. of International Conference on Communication Technology (ICCT)

    vol. 2, pp. 1238-1241 Apr-2003
  320. Sai Weng Sin, Seng-Pan U, R. P. Martins, Quantitative Noise Analysis of Jitter-Induced Non-Uniformly Sampled-And-Held Signals

    in Proc. of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)

    vol. 6, pp. VI_253-VI_256 Apr-2003
  321. Fan Lou, Seng-Pan U, R. P. Martins, N-Path Multirate Sigma-Delta Modulator For High Frequency Application

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    vol. 1, pp. 315-318 Sep-2002
  322. Fan Lou, Seng-Pan U, R. P. Martins, Mismatch-Insensitive N-Path Multirate Sigma-Delta Modulator for High-Frequency Applications

    in Proc. of 45th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS)

    vol. 1, pp. I-360-I-363 Aug-2002
  323. Seng-Pan U, R. P. Martins, J.E.Franca, Design and Analysis of Low Timing-Skew Clock Generation for Time-Interleaved Sampled-Data Systems

    of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 4, pp. 441-444 May-2002
  324. Seng-Pan U, R. P. Martins, J.E.Franca, A 2.5V 57MHz 15-tap SC bandpass interpolating filter with 320MHz output sampling rate in 0.35/spl mu/m CMOS

    IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers

    vol. 45, no. 1, pp. 380-381(& 475) Feb-2002
  325. Sai Weng Sin, Lai Keng Chong, Chiang Kuok Vai, Choi Wai Wa, K.W.Tam, R. P. Martins, An analytical linearization method for CMOS MMIC power amplifier using Multiple Gated Transistors

    in Proceedings of IEEE International Conference on ASIC - ASICON’2001

    pp. 670-672 Oct-2001
  326. Seng-Pan U, Ho-Ming Cheong, lu Leong Chan, Keng-Meng Chan, U-Chun Chan, Mantou Liu, R. P. Martins, J.E.Franca, An SC CCIR-601 Video Restitution Filter with 13.5 MSample/s Input and 108 MSample/s Output

    in Proc. of IEEE International Conference on ASIC (ASICON)

    pp. 374-377 Oct-2001
  327. Sai Weng Sin, Lai Keng Chong, Chiang Kuok Vai, Choi Wai Wa, K.W.Tam, R. P. Martins, A New IMD3 Reduction Approach based on Composite Effect of g""m and g""ds,""

    Proceedings of IEEE CAS Workshop on Wireless Communications and Networking, South Bend, Indiana

    Aug-2001
  328. Seng-Pan U, R. P. Martins, J.E.Franca, A High-Speed Frequency Up-Translated SC Bandpass Filter With Auto-Zeroing For DDFS Systems

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 320-323 May-2001
  329. Seng-Pan U, R. P. Martins, J.E.Franca, High-Frequency Low-Power Multirate SC Realizations For NTSC/PAL Digital Video Filtering

    IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 1, pp. 204-207 May-2001
  330. Seng-Pan U, R. P. Martins, J.E.Franca, Experimental Results of SC Fractional Multirate Converters with Intermittent Polyphase Structures

    in Proc. of the First Portugal-China Workshop on Solid-State Circuits

    pp. 28-29 Oct-2000
  331. Seng-Pan U, R. P. Martins, J.E.Franca, A linear-phase halfband SC video interpolation filter with coefficient-sharing and spread-reduction

    IEEE International Symposium on Circuits and Systems (ISCAS)

    vol. 3, pp. 177-180 May-2000
  332. Seng-Pan U, Neves, R., R. P. Martins, J.E.Franca, A 120 MHz SC 4th-Order Elliptic Interpolation Filter with Accurate Gain and Offset Compensation for Direct Digital Frequency Synthesizer

    The First IEEE Asia-Pacific Conference on ASICs (AP-ASIC’99)

    pp. 1-4 Aug-1999
  333. Seng-Pan U, R. P. Martins, J.E.Franca, High Performance Multirate SC Circuits with Predictive Correlated Double Sampling Technique

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    Vol. 2, pp 77-80 May-1999
  334. Seng-Pan U, R. P. Martins, J.E.Franca, Highly Accurate Mismatch-Free SC Delay Circuits with Reduced Finite Gain and Offset Sensitivity

    in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS)

    Vol. 2, pp 57-60 May-1999
  335. Seng-Pan U, R. P. Martins, J.E.Franca, A Novel Half-Band SC Architecture for Effective Analog Impulse Sampled Interpolation

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    vol.1, pp 389-393 Sep-1998
  336. Seng-Pan U, R. P. Martins, J.E.Franca, Impulse sampled intermittent polyphase SC FIR rational decimators with double-sampling

    in Proc. of IEEE Midwest Symposium on Circuits and Systems

    Vol. 2, pp 977-980 Aug-1997
  337. Seng-Pan U, R. P. Martins, J.E.Franca, Intermittent Polyphase SC Structures for FIR Rational Interpolation

    in Proc. of IEEE International Symposium on Circuits and Systems 1997 (ISCAS)

    Vol. 1, pp 121-124 Jun-1997
  338. Seng-Pan U, R. P. Martins, J.E.Franca, New Impulse Sampled IIR Switched-Capacitor Interpolators

    in Proc. of IEEE International Conference on Electronics, Circuits and Systems (ICECS)

    Vol. 1, pp 203-206 Oct-1996
  339. Seng-Pan U, R. P. Martins, J.E.Franca, Switched-Capacitor Finite Impulse Response Interpolators without the Input Sample-and-Hold Filtering Effect

    IEEE Midwest Symposium on Circuits and Systems (MWSCAS)

    Vol. 1 , pp 145-148 Aug-1996
  340. R. P. Martins, Pong Chi Wai, Seng-Pan U, UMCHIP – First Integrated Circuit designed in Macau (Multifunctional & Mixed A/D – 1.2µm CMOS)

    Proc. Int. Conf. on Education, Practice & Promotion of Computational Methods in Engineering using Small Computers - EPMESC-V

    pp. 1583-1589 Aug-1995
  341. Yang Jiang, Pui-In Mak, Rui Martins, Man-Kay Law, ISSCC – Student Research Preview (SRP) 2 月-2017
  342. GengZhen Qi, Ka-Fai Un, Wei-Han Yu, Pui In Mak, Rui P. Martins, A wideband multi-stage inverter-based driver amplifier for IEEE 802.22 WRAN transmitters

    pp.6-9

    8 月-2013
  343. Minglei Zhang; Yan Zhu; Chi-Hang Chan; Rui P. Martins, A 20GS/s 8b Time-Interleaved Time-Domain ADC with Input-Independent Background Timing Skew Calibration

    2021 Symposium on VLSI

    6 月-2021
  1. Xiangyu Mao, Mo Huang, Yan Lu & Rui P. Martins, Hybrid Architectures and Controllers for Low-Dropout Regulators

    Analog and Mixed-Signal Circuits in Nanoscale CMOS

    Analog Circuits and Signal Processing book series (ACSP), pp 281–307.

    Springer, Cham

    978-3-031-22230-6 Jan-2023
  2. Ka-Meng Lei, Pui-In Mak and Rui P. Martins, Ultra-Low-Voltage Clock References

    in Analog and Mixed-Signal Circuits in Nanoscale CMOS

    Analog Circuits and Signal Processing book series (ACSP), pp. 91-127

    Springer, Cham

    978-3-031-22230-6 Jan-2023
  3. Shiheng Yang, Jun Yin, Pui In Mak, R. P. Martins, Chapter 24 Multiplying DLLs” in “Phase-Locked Frequency Generation and Clocking, Architectures and circuits for modern wireless and wireline systems

    The Institution of Engineering and Technology, London, United Kingdom

    978-1-78561-885-7 May-2020
  4. Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, Handheld Total Chemical and Biological Analysis Systems: Bridging NMR, Digital Microfluidics, and Semiconductors

    Springer Press, USA

    978-3-319-67825-2 Jan-2018
  5. Ka-Meng Lei, NAN SUN, Pui In Mak, R. P. Martins, DONHEE HAM, Micro-NMR on CMOS for biomolecular sensing, Chapter in CMOS Circuits for Biological Sensing and Processing [Collaboration Harvard University & University of Macau]
     

    Springer Press: USA, 2018

    Nov-2017
  6. Pui In Mak, Zhicheng Lin, R. P. Martins, Enabling the Internet of Things – from Integrated Circuits to Integrated Systems – Circuit Techniques for IoT-Enabling Short-Range ULP Radios

    Springer

    eBook ISBN 978-3-319-51482-6 Editors: Alioto, Massimo (Ed.) Feb-2017
  7. Zhicheng Lin, Pui In Mak, R. P. Martins, Ultra-Low-Power and Ultra-Low-Cost Short-Range Wireless Receivers in Nanoscale CMOS, Series of Analog Circuits and Signal Processing (ACSP),

    Springer

    ISBN 978-3-319-21524-2 Aug-2015
  8. Pui In Mak, R. P. Martins, High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS

    Series of Analog Circuits and Signal Processing (ACSP), Springer Press

    978-1-4419-9538-4 Apr-2012
  9. Sai Weng Sin, Seng-Pan U, R. P. Martins, Generalized Low-Voltage Circuit Techniques for Very High-Speed Time-Interleaved Analog-to-Digital Converters

    Analog Circuits and Signal Processing, Springer

    978-90-481-9709-5 Oct-2010
  10. Pui In Mak, Seng-Pan U, R. P. Martins, Analog-Baseband Architectures and Circuits – for Multistandard and Low-Voltage Wireless Transceivers

    Analog Circuits and Signal Processing, Springer

    978-1-4020-6432-6 Sep-2007
  11. Seng-Pan U, R. P. Martins, J.E.Franca, 超高頻多速開關電容電路設計

    科學出版社

    7030182499 Jan-2007
  12. Seng-Pan U, R. P. Martins, J.E.Franca, Design of Very High-Frequency Multirate Switched-Capacitor Circuits – Extending the Boundaries of CMOS Analog Front-End Filtering

    The International Series in Engineering and Computer Science - Analog Circuits and Signal Processing, Springer

    978-0-387-26121-8 Sep-2005
Go to Top