Type

Home/Type
Kuan Un Wong, Jingxuan Shi, Peng Li, Haitao Wang, Yanwei Jia, Chuxia Deng, Lianmei Jiang, Ada Hang-Heng Wong, Assessment of Chimeric antigen receptor T (CAR-T) cytotoxicity by droplet microfluidics in vitro

Antibody Therapeutics

Vol. 5, No. 2, pp. 85–99 Mar-2022
Jian Liu, Mo Huang, Yan Lu and Rui P. Martins, RF Rectifiers With Wide Incident Angle of Incoming Waves Based on Rat-Race Couplers

IEEE Transactions on Microwave Theory and Techniques

vol. 70, no. 3, pp. 1983–1993 Mar-2022
Xiaoteng Zhao, Yong Chen, Pui-In Mak and Rui P. Martins, A 0.0285-mm2 0.68-pJ/bit Single-Loop Full-Rate Bang-Bang CDR without Reference and Separate FD Pulling off an 8.2-(Gb/s)/µs Acquisition Speed of PAM-4 Input in 28-nm CMOS

IEEE Journal of Solid-State Circuits

vol. 57, pp. 546–561 Feb-2022
Haijun Shao, Pui-In Mak, Gengzhen Qi, Rui P. Martins, A 266µW Bluetooth Low-Energy (BLE) Receiver Featuring an N-Path Passive Balun-LNA and a Pipeline Down-Mixing BB-Extraction Scheme Achieving 77dB SFDR and -3dBm OOB-B-1dB

IEEE International Solid-State Circuits Conference (ISSCC), pp. 400-401

Feb-2022
Feifei Chen, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui P. Martins, A 108nW 0.8mm2 Analog Voice Activity Detector (VAD) Featuring a Time-Domain CNN as a Programmable Feature Extractor and a Sparsity-Aware Computational Scheme in 28nm CMOS

IEEE International Solid-State Circuits Conference (ISSCC)

Feb-2022
Zunsong Yang, Yong Chen, Jia Yuan, Pui-In Mak, and Rui P. Martins, A 3.3-GHz Integer-N Type-II Sub-Sampling PLL Using a BFSK-Suppressed Push-Pull SS-PD and a Fast-Locking FLL Achieving −82.2-dBc REF Spur and −255-dB FOM

IEEE Transactions on VLSI systems

vol. 30, pp. 238–242 Feb-2021
Xiaoqi Lin, Jun Yin, Pui-In Mak and Rui P. Martins, A Swing-Enhanced Class-D VCO Using a Periodically Time-Varying (PTV) Inductor

IEEE Solid-State Circuits Letters

vol. 5, pp. 25–28 Feb-2022
Mingzhong Li, Liang Wan, Man-Kay Law, Li Meng, Yanwei Jia, Pui-In Mak and Rui P. Martins, One-shot high-resolution melting curve analysis for KRAS point-mutation discrimination on a digital microfluidics platform

RSC Lab on a Chip

vol. 22, pp. 537-549 Feb-2022
Haijun Shao, Gengzhen Qi, Pui-In Mak and Rui P. Martins, A 1.7-3.6-GHz 20-MHz-Bandwidth Channel-Selection N-Path Passive-LNA Achieving 23.5dBm OB-IIP3 and 3.4-4.8dB NF

IEEE Journal of Solid-State Circuits

vol. 57, pp. 413–422 Feb-2022
Yueduo Liu, Rongxin Bao, Zihao Zhu, Shiheng Yang, Xiong Zhou, Jun Yin, Pui-In Mak and Qiang Li, Accurate Performance Evaluation of Jitter-Power FOM for Multiplying Delay-Locked Loop

IEEE Transactions on Circuits and Systems I

vol. 69, pp. 495–505 Feb-2022
Go to Top