調研組

首頁/調研組
Sujiang Rong, Jun Yin, Howard C. Luong, A 0.05-to-10GHz, 19-to-22GHz, and 38-to-44GHz Frequency Synthesizer for Software-Defined Radios in 0.13-mm CMOS Process

IEEE Transactions on Circuits and Systems II: Express Briefs

vol. 63, no. 1, pp. 109-113. Jan-2016
Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Pui In Mak, R. P. Martins, Sub-7-second genotyping of single-nucleotide polymorphism by high-resolution melting curve analysis on a thermal digital microfluidic device

Lab on a Chip

16, 743-752 Jan-2016
Chio-In Ieong, Pui In Mak, Mang I Vai, R. P. Martins, Sub-µW QRS Detection Processor Using Quadratic Spline Wavelet Transform and Maxima Modulus Pair Recognition for Power-Efficient Wireless Arrhythmia Monitoring

Asia and South Pacific Design Automation Conference (ASP-DAC)

Jan-2016
Chak Fong Cheang, Ka-Fai Un, Pui In Mak, R. P. Martins, Time-Domain I/Q-LOFT Compensator Using a Simple Envelope Detector for a Sub-GHz IEEE 802.11af WLAN Transmitte

Asia and South Pacific Design Automation Conference (ASP-DAC)

Jan-2016
Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Sub-threshold VLSI Logic Family Exploiting Unbalanced Pull-up/down Network, Logical Effort and Inverse-Narrow-Width Techniques

Asia and South Pacific Design Automation Conference (ASP-DAC)

Jan-2016
Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Energy Optimized Sub-threshold VLSI Logic Family with Unbalanced Pull-up/down Network and Inverse-Narrow-Width Techniques

IEEE Transactions on VLSI Systems

vol. 23, pp. 3119-3123 Dec-2015
Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area

IEEE Transactions on VLSI Systems

vol. 23, pp. 3104-3108 Dec-2015
Bo Wang, Man-Kay Law, A. Bermak, Fang Tang, BJT Process Spread Compensation Utilizing Base Recombination Current in Standard CMOS

IEEE Electron Device Letters (EDL)

vol. 36, no. 11, pp. 1111-1113 Nov-2015
Ka-Meng Lei, Pui In Mak, Man-Kay Law, R. P. Martins, A μNMR CMOS Transceiver Using a Butterfly-Coil Input for Co-integration with a Digital Microfluidic Device Inside a Portable Magnet

IEEE Asian Solid-State Circuits Conference (A-SSCC)

pp. 1-4 Nov-2015
Jianwei Lui, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 89fJ-FOM 6-bit 3.4GS/s flash ADC with 4x time-domain interpolation

IEEE Asian Solid-State Circuits Conference (A-SSCC), 2015

pp.1-4 Nov-2015
Go to Top