无线IC

首页/调研组/无线IC
Yong Chen, Pui In Mak, Zunsong Yang, Chirn Chye Boon, R. P. Martins, A 0.0071-mm² 10.8pspp-Jitter 4 to 10-Gb/s 5-Tap Current-Mode Transmitter Using a Hybrid Delay Line for Sub-1-UI Fractional De-Emphasis

IEEE Transactions on Circuits and Systems I: Regular Paper

Vol.66, No.10, pp.3991-4004 Oct-2019
Xiaoteng Zhao, Yong Chen, Pui In Mak, R. P. Martins, A 0.0018-mm2 153%-Locking-Range CML-Based Divider-by-2 with Tunable Self-Resonant Frequency Using an Auxiliary Negative-gm Cell

IEEE Transactions on Circuits and Systems I: Regular Papers

Vol.66, No. 9, pp 3330-3339 Sep-2019
Jiangchao Wu, Ka-Chon Lei, Hou-Man Leong, JIANG Yang, Man-Kay Law, Pui In Mak, R. P. Martins, Fully Integrated High Voltage Pulse Driver Using Switched-Capacitor Voltage Multiplier and Synchronous Charge Compensation in 65-nm CMOS

in IEEE Int'l Symposium on IC and Systems (ISICAS)

pp. 1768 - 1772, Venice, Italy Aug-2019
Bing Li, Lingjun Zhang, Mingzhong Li, Shuangpeng Wang, Man-Kay Law, Yingzhou Huang, Weijia Wen, Bingpu Zhou, Suppression of Coffee-ring Effect via Periodic Oscillation of Substrate for Ultra-sensitive Enrichment towards Surface-enhanced Raman Scattering

Nanoscale

Vol.11, Issue 43, pp. 20335-21012 Aug-2019
Ricardo Martins, Nuno Lourenço, Nuno Horta, Jun Yin, Pui In Mak, R. P. Martins, Using EDA Tools to Push the Performance Boundaries of an Ultralow-Power IoT-VCO at 65nm

2019 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)

Jul-2019
Ruping Xiao, Mingzhong Li, Man-Kay Law, Pui In Mak, R. P. Martins, A 0.45-V 70-nW QRS Detector Using Decimated Quadratic Spline Wavelet Transform and Window-based Extrema Difference Techniques

IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

Jun-2019
Yukun Xu, Man-Kay Law, Pui In Mak, R. P. Martins, A Curvature Compensated BJT-based Time-Domain Temperature Sensor With An Inaccuracy of ±0.7°C From -40°C to 125°C

IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)

Jun-2019
Xinyi Ge, Yong Chen, Xiaoteng Zhao, Pui In Mak, R. P. Martins, Analysis and Verification of Jitter in Bang-Bang Clock and Data Recovery Circuit With a Second-Order Loop Filter

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Vol.27, Issue 10, pp.2223-2236 Jun-2019
Shiheng Yang, Haidong Yi, Wei-Han Yu, Pui In Mak, R. P. Martins, A 0.2-V Energy-Harvesting BLE Transmitter With a Micropower Manager Achieving 25% System Efficiency at 0-dBm Output and 5.2-nW Sleep Power in 28-nm CMOS

IEEE Journal of Solid-State Circuits

Vol: 54 , No.: 5 , pp. 1351 - 1362 May-2019
Yong Chen, Zunsong Yang, Xiaoteng Zhao, Yunbo Huang, A 6.5×7 µm2 0.98-to-1.5 mW Non-Self-Oscillation-Mode Frequency Divider-by-2 Achieving a Single-Band Untuned Locking Range of 166.6% (4 to 44 GHz)

IEEE Solid-State Circuits Letters

Vol.2, Issue: 5, pp. 37-40 May-2019
Go to Top