期刊和杂志

首页/发表 著作/期刊和杂志
Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, A 6 b 5 GS/s 4 Interleaved 3 b/Cycle SAR ADC

IEEE Journal of Solid-State Circuits

vol. 51, Issue 2, pp. 365-377 Feb-2016
Keng-Weng Lao, Man-Chung Wong, Ning-Yi Dai, Chi-Kong Wong, Chi-Seng Lam, Analysis of dc link operation voltage of a hybrid railway power quality conditioner and its pq compensation capability in high speed co-phase traction power supply

IEEE Transactions on Power Electronics

vol. 31, no. 2, pp. 1643 – 1656 Feb-2016
Jianwei Lui, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Uniform Quantization Theory-Based Linearity Calibration for Split Capacitive DAC in an SAR ADC

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Issue 24, Issue 7, pp. 2603-2607 Jan-2016
U. R. J. Eswaran, Harikrishnan Ramiah, Pui In Mak, R. P. Martins, A 2-μm InGaP/GaAs Class-J Power Amplifier for Multi-band LTE Achieving 35.8-dB Gain, 40.5%-to-55.8% PAE and 28-dBm Linear Output Power

IEEE Transactions on Microwave Theory and Techniques

vol. 64, pp. 200-209 Jan-2016
Suyan Fan, Man-Kay Law, Mingzhong Li, Zhiyuan Chen, Chio-In Ieong, Pui In Mak, R. P. Martins, Wide Input Range Supply Voltage Tolerant Capacitive Sensor Readout Using On-Chip Solar Cell

World Scientific Journal of Circuits, Systems, and Computers

Vol. 25, No. 1, pp. 1640006-1 to 12 Jan-2016
Sujiang Rong, Jun Yin, Howard C. Luong, A 0.05-to-10GHz, 19-to-22GHz, and 38-to-44GHz Frequency Synthesizer for Software-Defined Radios in 0.13-mm CMOS Process

IEEE Transactions on Circuits and Systems II: Express Briefs

vol. 63, no. 1, pp. 109-113. Jan-2016
Yue Li, Chak Fong Cheang, Pui In Mak, R. P. Martins, The Dispersal Analysis on Basis Construction of Digital Predistortion Techniques for Power Amplifiers

Springer Analog Integrated Circuits and Signal Processing

vol. 86, pp. 77-88 Jan-2016
Tianlan Chen, Yanwei Jia, Cheng Dong, Jie Gao, Pui In Mak, R. P. Martins, Sub-7-second genotyping of single-nucleotide polymorphism by high-resolution melting curve analysis on a thermal digital microfluidic device

Lab on a Chip

16, 743-752 Jan-2016
Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui In Mak, Mang I Vai, Sio Hang Pun, R. P. Martins, Energy Optimized Sub-threshold VLSI Logic Family with Unbalanced Pull-up/down Network and Inverse-Narrow-Width Techniques

IEEE Transactions on VLSI Systems

vol. 23, pp. 3119-3123 Dec-2015
Yaohua Zhao, Pui In Mak, Man-Kay Law, R. P. Martins, Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area

IEEE Transactions on VLSI Systems

vol. 23, pp. 3104-3108 Dec-2015
Go to Top